Mux
Architectures

mux.vhdl File Reference

2:1 Mux using with-select More...

Architectures

mux_using_with Entity
 Mux entity brief description. More...
behavior Architecture
 Architure definition of the MUX. More...

Detailed Description

2:1 Mux using with-select