QScintilla  2.10
QsciLexerVerilog Member List

This is the complete list of members for QsciLexerVerilog, including all inherited members.

apis() const QsciLexer
autoCompletionFillups() const QsciLexervirtual
autoCompletionWordSeparators() const QsciLexervirtual
autoIndentStyle()QsciLexer
blockEnd(int *style=0) const QsciLexervirtual
blockLookback() const QsciLexervirtual
blockStart(int *style=0) const QsciLexervirtual
blockStartKeyword(int *style=0) const QsciLexervirtual
braceStyle() const QsciLexerVerilogvirtual
caseSensitive() const QsciLexervirtual
color(int style) const QsciLexervirtual
colorChanged(const QColor &c, int style)QsciLexersignal
Comment enum valueQsciLexerVerilog
CommentBang enum valueQsciLexerVerilog
CommentKeyword enum valueQsciLexerVerilog
CommentLine enum valueQsciLexerVerilog
DeclareInputOutputPort enum valueQsciLexerVerilog
DeclareInputPort enum valueQsciLexerVerilog
DeclareOutputPort enum valueQsciLexerVerilog
Default enum valueQsciLexerVerilog
defaultColor(int style) const QsciLexerVerilogvirtual
QsciLexer::defaultColor() const QsciLexer
defaultEolFill(int style) const QsciLexerVerilogvirtual
defaultFont(int style) const QsciLexerVerilogvirtual
QsciLexer::defaultFont() const QsciLexer
defaultPaper(int style) const QsciLexerVerilogvirtual
QsciLexer::defaultPaper() const QsciLexer
defaultStyle() const QsciLexervirtual
description(int style) const QsciLexerVerilogvirtual
editor() const QsciLexerinline
eolFill(int style) const QsciLexervirtual
eolFillChanged(bool eolfilled, int style)QsciLexersignal
foldAtElse() const QsciLexerVeriloginline
foldAtModule() const QsciLexerVeriloginline
foldComments() const QsciLexerVeriloginline
foldCompact() const QsciLexerVeriloginline
foldPreprocessor() const QsciLexerVeriloginline
font(int style) const QsciLexervirtual
fontChanged(const QFont &f, int style)QsciLexersignal
Identifier enum valueQsciLexerVerilog
InactiveComment enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveCommentBang enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveCommentKeyword enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveCommentLine enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDeclareInputOutputPort enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDeclareInputPort enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDeclareOutputPort enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveDefault enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveIdentifier enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveKeyword enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveKeywordSet2 enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveNumber enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveOperator enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactivePortConnection enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactivePreprocessor enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveString enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveSystemTask enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveUnclosedString enum value (defined in QsciLexerVerilog)QsciLexerVerilog
InactiveUserKeywordSet enum value (defined in QsciLexerVerilog)QsciLexerVerilog
indentationGuideView() const QsciLexervirtual
Keyword enum valueQsciLexerVerilog
keywords(int set) const QsciLexerVerilogvirtual
KeywordSet2 enum valueQsciLexerVerilog
language() const QsciLexerVerilogvirtual
lexer() const QsciLexerVerilogvirtual
lexerId() const QsciLexervirtual
Number enum valueQsciLexerVerilog
Operator enum valueQsciLexerVerilog
paper(int style) const QsciLexervirtual
paperChanged(const QColor &c, int style)QsciLexersignal
PortConnection enum valueQsciLexerVerilog
Preprocessor enum valueQsciLexerVerilog
propertyChanged(const char *prop, const char *val)QsciLexersignal
QsciLexer(QObject *parent=0)QsciLexer
QsciLexerVerilog(QObject *parent=0)QsciLexerVerilog
readProperties(QSettings &qs, const QString &prefix)QsciLexerVerilogprotectedvirtual
readSettings(QSettings &qs, const char *prefix="/Scintilla")QsciLexer
refreshProperties()QsciLexerVerilogvirtual
setAPIs(QsciAbstractAPIs *apis)QsciLexer
setAutoIndentStyle(int autoindentstyle)QsciLexervirtualslot
setColor(const QColor &c, int style=-1)QsciLexervirtualslot
setDefaultColor(const QColor &c)QsciLexer
setDefaultFont(const QFont &f)QsciLexer
setDefaultPaper(const QColor &c)QsciLexer
setEditor(QsciScintilla *editor) (defined in QsciLexer)QsciLexervirtual
setEolFill(bool eoffill, int style=-1)QsciLexervirtualslot
setFoldAtElse(bool fold)QsciLexerVerilog
setFoldAtModule(bool fold)QsciLexerVerilog
setFoldComments(bool fold)QsciLexerVerilog
setFoldCompact(bool fold)QsciLexerVerilog
setFoldPreprocessor(bool fold)QsciLexerVerilog
setFont(const QFont &f, int style=-1)QsciLexervirtualslot
setPaper(const QColor &c, int style=-1)QsciLexervirtualslot
String enum valueQsciLexerVerilog
styleBitsNeeded() const QsciLexervirtual
SystemTask enum valueQsciLexerVerilog
UnclosedString enum valueQsciLexerVerilog
UserKeywordSet enum valueQsciLexerVerilog
wordCharacters() const QsciLexerVerilogvirtual
writeProperties(QSettings &qs, const QString &prefix) const QsciLexerVerilogprotectedvirtual
writeSettings(QSettings &qs, const char *prefix="/Scintilla") const QsciLexer
~QsciLexer()QsciLexervirtual
~QsciLexerVerilog()QsciLexerVerilogvirtual