Linux Kernel  3.7.1
 All Data Structures Namespaces Files Functions Variables Typedefs Enumerations Enumerator Macros Groups Pages
Data Structures | Macros | Enumerations | Functions
ad5380.c File Reference
#include <linux/device.h>
#include <linux/err.h>
#include <linux/i2c.h>
#include <linux/kernel.h>
#include <linux/module.h>
#include <linux/spi/spi.h>
#include <linux/slab.h>
#include <linux/sysfs.h>
#include <linux/regmap.h>
#include <linux/regulator/consumer.h>
#include <linux/iio/iio.h>
#include <linux/iio/sysfs.h>

Go to the source code of this file.

Data Structures

struct  ad5380_chip_info
 
struct  ad5380_state
 

Macros

#define AD5380_REG_DATA(x)   (((x) << 2) | 3)
 
#define AD5380_REG_OFFSET(x)   (((x) << 2) | 2)
 
#define AD5380_REG_GAIN(x)   (((x) << 2) | 1)
 
#define AD5380_REG_SF_PWR_DOWN   (8 << 2)
 
#define AD5380_REG_SF_PWR_UP   (9 << 2)
 
#define AD5380_REG_SF_CTRL   (12 << 2)
 
#define AD5380_CTRL_PWR_DOWN_MODE_OFFSET   13
 
#define AD5380_CTRL_INT_VREF_2V5   BIT(12)
 
#define AD5380_CTRL_INT_VREF_EN   BIT(10)
 
#define AD5380_CHANNEL(_bits)
 

Enumerations

enum  ad5380_type {
  ID_AD5380_3, ID_AD5380_5, ID_AD5381_3, ID_AD5381_5,
  ID_AD5382_3, ID_AD5382_5, ID_AD5383_3, ID_AD5383_5,
  ID_AD5390_3, ID_AD5390_5, ID_AD5391_3, ID_AD5391_5,
  ID_AD5392_3, ID_AD5392_5
}
 

Functions

 module_init (ad5380_spi_init)
 
 module_exit (ad5380_spi_exit)
 
 MODULE_AUTHOR ("Lars-Peter Clausen <[email protected]>")
 
 MODULE_DESCRIPTION ("Analog Devices AD5380/81/82/83/84/90/91/92 DAC")
 
 MODULE_LICENSE ("GPL v2")
 

Macro Definition Documentation

#define AD5380_CHANNEL (   _bits)
Value:
{ \
.type = IIO_VOLTAGE, \
.indexed = 1, \
.output = 1, \
IIO_CHAN_INFO_SCALE_SHARED_BIT | \
IIO_CHAN_INFO_CALIBSCALE_SEPARATE_BIT | \
IIO_CHAN_INFO_CALIBBIAS_SEPARATE_BIT, \
.scan_type = IIO_ST('u', (_bits), 16, 14 - (_bits)), \
.ext_info = ad5380_ext_info, \
}

Definition at line 256 of file ad5380.c.

#define AD5380_CTRL_INT_VREF_2V5   BIT(12)

Definition at line 32 of file ad5380.c.

#define AD5380_CTRL_INT_VREF_EN   BIT(10)

Definition at line 33 of file ad5380.c.

#define AD5380_CTRL_PWR_DOWN_MODE_OFFSET   13

Definition at line 31 of file ad5380.c.

#define AD5380_REG_DATA (   x)    (((x) << 2) | 3)

Definition at line 24 of file ad5380.c.

#define AD5380_REG_GAIN (   x)    (((x) << 2) | 1)

Definition at line 26 of file ad5380.c.

#define AD5380_REG_OFFSET (   x)    (((x) << 2) | 2)

Definition at line 25 of file ad5380.c.

#define AD5380_REG_SF_CTRL   (12 << 2)

Definition at line 29 of file ad5380.c.

#define AD5380_REG_SF_PWR_DOWN   (8 << 2)

Definition at line 27 of file ad5380.c.

#define AD5380_REG_SF_PWR_UP   (9 << 2)

Definition at line 28 of file ad5380.c.

Enumeration Type Documentation

Enumerator:
ID_AD5380_3 
ID_AD5380_5 
ID_AD5381_3 
ID_AD5381_5 
ID_AD5382_3 
ID_AD5382_5 
ID_AD5383_3 
ID_AD5383_5 
ID_AD5390_3 
ID_AD5390_5 
ID_AD5391_3 
ID_AD5391_5 
ID_AD5392_3 
ID_AD5392_5 

Definition at line 65 of file ad5380.c.

Function Documentation

MODULE_AUTHOR ( "Lars-Peter Clausen <[email protected]>"  )
MODULE_DESCRIPTION ( "Analog Devices AD5380/81/82/83/84/90/91/92 DAC )
module_exit ( ad5380_spi_exit  )
module_init ( ad5380_spi_init  )
MODULE_LICENSE ( "GPL v2 )