clang API Documentation

Class Index
A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | _
  A  
CXIdxEntityRefInfo   Sema::InstantiatingTemplate (clang)   PreprocessorFrontendAction (clang)   
CXIdxIBOutletCollectionAttrInfo   InstrProfStats (clang::CodeGen)   PreprocessorLexer (clang)   
ABIArgInfo (clang::CodeGen)   CXIdxImportedASTFileInfo   IntegerLiteral (clang)   PreprocessorOptions (clang)   
ABIInfo (clang)   CXIdxIncludedFileInfo   HeaderFileInfoTrait::internal_key_type (clang::serialization::reader)   PreprocessorOutputOptions (clang)   
AbstractConditionalOperator (clang)   CXIdxLoc   IntrusiveRefCntPtrInfo< const clang::ento::ProgramState > (llvm)   PreStmt (clang::ento::check)   
AccessedEntity (clang::sema)   CXIdxObjCCategoryDeclInfo   IntSymExpr (clang::ento)   PreStmt (clang)   
AccessSpecDecl (clang)   CXIdxObjCContainerDeclInfo   InvariantValue (clang::CodeGen)   PreStmtPurgeDeadSymbols (clang)   
Action (clang::driver)   CXIdxObjCInterfaceDeclInfo   IRBuilderDefaultInserter (llvm)   PreStore (clang)   
ActionResult (clang)   CXIdxObjCPropertyDeclInfo   is_error_code_enum< clang::format::ParseError > (std)   PresumedLoc (clang)   
ActionResult< PtrTy, true > (clang)   CXIdxObjCProtocolRefInfo   is_error_code_enum< clang::serialized_diags::SDError > (std)   PrettyDeclStackTraceEntry (clang)   
Sema::ActiveTemplateInstantiation (clang)   CXIdxObjCProtocolRefListInfo   isa_impl< To,::clang::DeclContext > (llvm)   PrettyPrinter (clang::threadSafety::til)   
Sema::ActOnMemberAccessExtraArgs (clang)   CXPlatformAvailability   WhitespaceManager::Change::IsBeforeInFile (clang::format)   PrettyStackTraceDecl (clang)   
AdaptativeOverloadCollector (clang::ast_matchers::dynamic::internal)   CXSourceLocation   isPodLike< BindingKey > (llvm)   PrettyStackTraceEntry (llvm)   
AddFlagValue (clang)   CXSourceRange   isPodLike< clang::BaseSubobject > (llvm)   PrettyStackTraceLoc (clang)   
AdditionalKeywords (clang::format)   CXSourceRangeList   isPodLike< clang::CharUnits > (llvm)   PrettyStackTraceLocationContext (clang::ento)   
TargetInfo::AddlRegName (clang)   CXString   isPodLike< clang::CodeCompletionString::Chunk > (llvm)   PrinterHelper (clang)   
AddrLabelExpr (clang)   CXToken   isPodLike< clang::DeclAccessPair > (llvm)   PrintfConversionSpecifier (clang::analyze_printf)   
CFGBlock::AdjacentBlock (clang)   CXTUResourceUsage   isPodLike< clang::DeclarationName > (llvm)   PrintfSpecifier (clang::analyze_printf)   
AdjustedLocInfo (clang)   CXTUResourceUsageEntry   isPodLike< clang::ento::SVal > (llvm)   PrintingCodeCompleteConsumer (clang)   
AdjustedType (clang)   CXType   isPodLike< clang::FileID > (llvm)   PrintingPolicy (clang)   
AdjustedTypeLoc (clang)   CXUnsavedFile   isPodLike< clang::GlobalDecl > (llvm)   PrintPreambleAction (clang)   
ADLResult (clang)   CXVersion   isPodLike< clang::ObjCDictionaryElement > (llvm)   PrintPreprocessedAction (clang)   
AggValueSlot (clang::CodeGen)   CXXABI (clang)   isPodLike< clang::OpaquePtr< T > > (llvm)   MatchFinder::MatchFinderOptions::Profiling (clang::ast_matchers)   
DeclContext::all_lookups_iterator (clang)   CXXAllocatorCall (clang)   isPodLike< clang::ProgramPoint > (llvm)   ProgramPoint (clang)   
Alloc (clang::threadSafety::til)   CXXBaseObjectRegion (clang::ento)   isPodLike< clang::QualType > (llvm)   ProgramPointTag (clang)   
AllocaRegion (clang::ento)   CXXBasePath (clang)   isPodLike< clang::Selector > (llvm)   ProgramState (clang::ento)   
AllocationInfo   CXXBasePathElement (clang)   isPodLike< clang::SourceLocation > (llvm)   ProgramStateManager (clang::ento)   
AmbiguousConversionSequence (clang)   CXXBasePaths (clang)   isPodLike< clang::Token > (llvm)   ProgramStatePartialTrait   
AnalysisAction (clang::ento)   CXXBaseSpecifier (clang)   IsResultPtrLowBitFree (clang)   ProgramStatePartialTrait< bool > (clang::ento)   
AnalysisASTConsumer (clang::ento)   CXXBindTemporaryExpr (clang)   IsResultPtrLowBitFree< CXXBaseSpecifier * > (clang)   ProgramStatePartialTrait< const void * > (clang::ento)   
AnalysisBasedWarnings (clang::sema)   CXXBoolLiteralExpr (clang)   IsResultPtrLowBitFree< CXXCtorInitializer * > (clang)   ProgramStatePartialTrait< llvm::ImmutableList< T > > (clang::ento)   
AnalysisDeclContext (clang)   CXXCatchStmt (clang)   IsResultPtrLowBitFree< Expr * > (clang)   ProgramStatePartialTrait< llvm::ImmutableMap< Key, Data, Info > > (clang::ento)   
AnalysisDeclContextManager (clang)   CXXConstCastExpr (clang)   IsResultPtrLowBitFree< Stmt * > (clang)   ProgramStatePartialTrait< llvm::ImmutableSet< Key, Info > > (clang::ento)   
AnalysisManager (clang::ento)   CXXConstructExpr (clang)   ItaniumMangleContext (clang)   ProgramStatePartialTrait< unsigned > (clang::ento)   
AnalyzeJobAction (clang::driver)   CXXConstructorCall (clang)   ItaniumVTableContext (clang)   ProgramStatePartialTrait< void * > (clang::ento)   
AnalyzerOptions (clang)   CXXConstructorDecl (clang)   iterator (std)   ProgramStateTrait (clang::ento)   
And (clang::threadSafety::lexpr)   CXXConversionDecl (clang)   PostOrderCFGView::CFGBlockSet::iterator (clang)   ProgramStateTrait< ReplayWithoutInlining > (clang::ento)   
AnnotatedLine (clang::format)   CXXCtorInitializer (clang)   SwitchNodeBuilder::iterator (clang::ento)   ProgramStateTrait< TaintMap > (clang::ento)   
AnyFunctionCall   CXXDefaultArgExpr (clang)   ADLResult::iterator (clang)   Project (clang::threadSafety::til)   
AnyFunctionCall (clang::ento)   CXXDefaultInitExpr (clang)   ResultBuilder::ShadowMapEntry::iterator   PropagationInfo (clang::consumed)   
AnyFunctionDecl (clang)   CodeGenFunction::CXXDefaultInitExprScope (clang::CodeGen)   SimpleArray::ReverseAdaptor::Iterator (clang::threadSafety::til)   AttributeList::PropertyData (clang)   
APFloatStorage (clang)   CXXDeleteExpr (clang)   IndirectGotoNodeBuilder::iterator (clang::ento)   PropertyRewriteTraverser (clang::arcmt::trans)   
APIntStorage (clang)   CXXDependentScopeMemberExpr (clang)   PreprocessingRecord::iterator (clang)   ProtectedScopeTraverser (clang::arcmt::trans)   
APNumericStorage (clang)   CXXDestructorCall (clang)   LazyVector::iterator (clang)   PseudoConstantAnalysis (clang)   
Apply (clang::threadSafety::til)   CXXDestructorDecl (clang)   IdentifierResolver::iterator (clang)   PseudoDestructorTypeStorage (clang)   
APSIntType (clang::ento)   CXXDynamicCastExpr (clang)   EHScopeStack::iterator (clang::CodeGen)   PseudoObjectExpr (clang)   
APValue (clang)   CXXFieldCollector (clang)   
  J  
Stmt::PseudoObjectExprBitfields (clang)   
ARCEntrypoints (clang::CodeGen)   CXXFinalOverriderMap (clang)   PTHManager::PTHFileLookupTrait   
ArgKind (clang::ast_matchers::dynamic)   CXXFoldExpr (clang)   Job (clang::driver)   PTHLexer (clang)   
Diagnostics::ArgStream (clang::ast_matchers::dynamic)   CXXForRangeStmt (clang)   JobAction (clang::driver)   PTHManager (clang)   
ArgType (clang::analyze_format_string)   CXXFunctionalCastExpr (clang)   JobList (clang::driver)   PTHStatCache (clang)   
ArgTypeTraits   CXXIndirectPrimaryBaseSet (clang)   JSONCompilationDatabase (clang::tooling)   PTHManager::PTHStringLookupTrait   
ArgTypeTraits< ast_matchers::internal::Matcher< T > > (clang::ast_matchers::dynamic::internal)   CXXInstanceCall (clang)   CodeGenFunction::JumpDest (clang::CodeGen)   
  Q  
ArgTypeTraits< attr::Kind > (clang::ast_matchers::dynamic::internal)   CXXLiteralOperatorIdName (clang)   
  K  
ArgTypeTraits< const T & > (clang::ast_matchers::dynamic::internal)   DeclarationNameLoc::CXXLitOpName (clang)   QualifiedTemplateName (clang)   
ArgTypeTraits< std::string > (clang::ast_matchers::dynamic::internal)   CXXMemberCall (clang)   ModuleMap::KnownHeader (clang)   QualifiedTypeLoc (clang)   
ArgTypeTraits< StringRef > (clang::ast_matchers::dynamic::internal)   CXXMemberCallExpr (clang)   KnownSVal (clang::ento)   QualifierCollector (clang)   
ArgTypeTraits< unsigned > (clang::ast_matchers::dynamic::internal)   CXXMemberOperatorCall (clang)   
  L  
QualifierInfo (clang)   
BlockCommandComment::Argument (clang::comments)   CXXMethodDecl (clang)   Qualifiers (clang)   
InlineCommandComment::Argument (clang::comments)   CXXNamedCastExpr (clang)   LabelDecl (clang)   QualType (clang)   
Sema::ArgumentPackSubstitutionIndexRAII (clang)   CXXNewExpr (clang)   LabelStmt (clang)   QualTypeOrdering (clang)   
ArgumentsAdjuster (clang::tooling)   CXXNoexceptExpr (clang)   LambdaIntroducer::LambdaCapture (clang)   
  R  
ArgumentsAdjustingCompilations   CXXNullPtrLiteralExpr (clang)   LambdaCapture (clang)   
ArrayAdd (clang::threadSafety::til)   CXXOperatorCallExpr (clang)   LambdaExpr (clang)   Range (clang::tooling)   
ArrayIndex (clang::threadSafety::til)   CXXOperatorIdName (clang)   LambdaIntroducer (clang)   RawComment (clang)   
ArrayLocInfo (clang)   DeclarationNameLoc::CXXOpName (clang)   LambdaScopeInfo (clang::sema)   ASTContext::RawCommentAndCacheFlags (clang)   
DesignatedInitExpr::ArrayOrRangeDesignator (clang)   CXXPseudoDestructorExpr (clang)   LangOptions (clang)   RawCommentList (clang)   
ArraySubscriptExpr (clang)   CXXRecordDecl (clang)   LangOptionsBase (clang)   ReadMethodPoolVisitor (clang::serialization)   
ArrayType (clang)   CXXReinterpretCastExpr (clang)   LangStandard (clang)   RecordDecl (clang)   
ArrayType_cannot_be_used_with_getAs (clang)   CXXScalarValueInitExpr (clang)   Sema::LateInstantiatedAttribute (clang)   RecordType (clang)   
Type::ArrayTypeBitfields (clang)   CXXScopeSpec (clang)   LateParsedTemplate (clang)   RecordTypeLoc (clang)   
DeclaratorChunk::ArrayTypeInfo (clang)   CXXSpecialName (clang)   LayoutOverrideSource (clang)   recursive_directory_iterator (clang::vfs)   
ArrayTypeLoc (clang)   CXXStaticCastExpr (clang)   LazyASTUnresolvedSet (clang)   RecursiveASTVisitor (clang)   
ArrayTypeTraitExpr (clang)   CXXStdInitializerListExpr (clang)   LazyCompoundVal (clang::ento::nonloc)   Decl::redecl_iterator (clang)   
ArtificialLocation (clang::CodeGen)   CXXTempObjectRegion (clang::ento)   LazyCompoundValData (clang::ento)   Redeclarable::redecl_iterator (clang)   
AsmStmt (clang)   CXXTemporary (clang)   LazyGenerationalUpdatePtr::LazyData (clang)   Redeclarable (clang)   
GCCAsmStmt::AsmStringPiece (clang)   CXXTemporaryObjectExpr (clang)   LazyDefinitionDataPtr (clang)   RedeclarableTemplateDecl (clang)   
Assemble (clang::driver::tools::gnutools)   CXXThisExpr (clang)   LazyGenerationalUpdatePtr (clang)   RefactoringCallback (clang::tooling)   
Assemble (clang::driver::tools::CrossWindows)   CXXThisRegion (clang::ento)   LazyOffsetPtr (clang)   RefactoringTool (clang::tooling)   
Assemble (clang::driver::tools::XCore)   Sema::CXXThisScopeRAII (clang)   LazyVector (clang)   BlockDataRegion::referenced_vars_iterator (clang::ento)   
Assemble (clang::driver::tools::dragonfly)   CXXThrowExpr (clang)   LengthModifier (clang::analyze_format_string)   ReferenceType (clang)   
Assemble (clang::driver::tools::solaris)   CXXTryStmt (clang)   Let (clang::threadSafety::til)   Type::ReferenceTypeBitfields (clang)   
Assemble (clang::driver::tools::minix)   CXXTypeidExpr (clang)   Lexer (clang)   DeclaratorChunk::ReferenceTypeInfo (clang)   
Assemble (clang::driver::tools::netbsd)   CXXUnresolvedConstructExpr (clang)   Lexer (clang::comments)   ReferenceTypeLoc (clang)   
Assemble (clang::driver::tools::freebsd)   CXXUuidofExpr (clang)   CodeGenFunction::LexicalScope (clang::CodeGen)   RefParamPartialOrderingComparison   
Assemble (clang::driver::tools::bitrig)   
  D  
LExpr (clang::threadSafety::lexpr)   RegionAndSymbolInvalidationTraits (clang::ento)   
Assemble (clang::driver::tools::openbsd)   CodeGenFunction::LifetimeExtendedCleanupHeader (clang::CodeGen)   RegionChanges (clang::ento::check)   
Assemble (clang::driver::tools::darwin)   Darwin (clang::driver::toolchains)   LikelyFalsePositiveSuppressionBRVisitor (clang::ento)   RegionCounter (clang::CodeGen)   
Assemble (clang::driver::tools::hexagon)   DarwinClang (clang::driver::toolchains)   LineEntry (clang)   RegionOffset (clang::ento)   
AssembleJobAction (clang::driver)   ASTSelectorLookupTrait::data_type (clang::serialization::reader)   LineState (clang::format)   RegionRawOffset (clang::ento)   
Assume (clang::ento::eval)   DataflowValues (clang)   LineTableInfo (clang)   Registry (clang::ast_matchers::dynamic)   
ASTCodeBody (clang::ento::check)   DeclContext::ddiag_iterator (clang)   Link (clang::driver::tools::CrossWindows)   Parser::RegistrySema (clang::ast_matchers::dynamic)   
ASTConsumer (clang)   DeadSymbols (clang::ento::check)   Link (clang::driver::tools::XCore)   RelaxedLiveVariables (clang)   
ASTContext (clang)   DebugLocThreadIdTy (clang::CodeGen)   Link (clang::driver::tools::dragonfly)   ReplaceIfStmtWithItsBody (clang::tooling)   
ASTDecl (clang::ento::check)   DecayedType (clang)   Link (clang::driver::tools::visualstudio)   Replacement (clang::tooling)   
ASTDeclContextNameLookupTrait (clang::serialization::reader)   DecayedTypeLoc (clang)   Link (clang::driver::tools::solaris)   ReplaceStmtWithStmt (clang::tooling)   
ASTDeclListAction (clang)   Decl (clang)   Link (clang::driver::tools::minix)   ReplaceStmtWithText (clang::tooling)   
ASTDeclReader (clang)   DeclContext::decl_iterator (clang)   Link (clang::driver::tools::gnutools)   ReplayWithoutInlining (clang::ento)   
ASTDeclWriter (clang)   DeclAccessPair (clang)   Link (clang::driver::tools::netbsd)   RequiredArgs (clang::CodeGen)   
ASTDeserializationListener (clang)   DeclarationName (clang)   Link (clang::driver::tools::freebsd)   Preprocessor::ResetMacroExpansionHelper   
ASTDumpAction (clang)   DeclarationNameExtra (clang)   Link (clang::driver::tools::bitrig)   RetEffect (clang::ento::objc_retain)   
ASTFrontendAction (clang)   DeclarationNameInfo (clang)   Link (clang::driver::tools::openbsd)   Return (clang::threadSafety::til)   
ASTIdentifierIterator (clang)   DeclarationNameLoc (clang)   Link (clang::driver::tools::darwin)   ReturnAdjustment (clang)   
ASTIdentifierLookupTrait (clang::serialization::reader)   DeclarationNameTable (clang)   Link (clang::driver::tools::hexagon)   ReturnStmt (clang)   
ASTIdentifierLookupTraitBase (clang::serialization::reader)   Declarator (clang)   Link (clang::driver::tools::gcc)   ReturnValueSlot (clang::CodeGen)   
ASTImporter (clang)   DeclaratorChunk (clang)   LinkageComputer (clang)   SimpleArray::ReverseAdaptor (clang::threadSafety::til)   
ASTMergeAction (clang)   DeclaratorDecl (clang)   LinkageInfo (clang)   RewriteBuffer (clang)   
ASTMutationListener (clang)   DeclContext (clang)   LinkageSpecDecl (clang)   RewriteIncludesAction (clang)   
ASTNodeImporter (clang)   DeclContextInfo (clang::serialization)   LinkJobAction (clang::driver)   MigrationProcess::RewriteListener (clang::arcmt)   
ASTNodeKind (clang::ast_type_traits)   DeclContextPrintAction (clang)   Module::LinkLibrary (clang)   RewriteMacrosAction (clang)   
ASTPrintAction (clang)   DeclFilterCCC (clang)   Linux (clang::driver::toolchains)   RewriteObjCAction (clang)   
ASTReader (clang)   DeclGroup (clang)   Lipo (clang::driver::tools::darwin)   Rewriter::RewriteOptions (clang)   
ASTReaderListener (clang)   DeclGroupRef (clang)   LipoJobAction (clang::driver)   Rewriter (clang)   
ASTRecordLayout (clang)   DeclInfo (clang::comments)   list (std)   TransformActions::RewriteReceiver (clang::arcmt)   
ASTSelectorLookupTrait (clang::serialization::reader)   Redeclarable::DeclLink (clang)   ASTReader::ListenerScope (clang)   RewriteRope (clang)   
ASTStmtReader (clang)   ASTDeclContextNameLookupTrait::DeclNameKey (clang::serialization::reader)   Literal (clang::threadSafety::til)   RewriteTestAction (clang)   
ASTStmtWriter (clang)   DeclOffset (clang::serialization)   LiteralPtr (clang::threadSafety::til)   RopePiece (clang)   
ASTTemplateArgumentListInfo (clang)   DeclRefExpr (clang)   LiteralT (clang::threadSafety::til)   RopePieceBTree (clang)   
ASTTemplateKWAndArgsInfo (clang)   Stmt::DeclRefExprBitfields (clang)   LiveVariables::LivenessValues (clang)   RopePieceBTreeIterator (clang)   
ASTTraverser (clang::arcmt::trans)   DeclRegion (clang::ento)   LiveSymbols (clang::ento::check)   RopeRefCountString (clang)   
ASTUnit (clang)   DeclSpec (clang)   LiveVariables (clang)   RREntrypoints (clang::CodeGen)   
ASTUnresolvedSet (clang)   DeclStmt (clang)   Load (clang::threadSafety::til)   RTEMSTargetInfo   
ASTVector (clang)   DecltypeType (clang)   Loc (clang::ento)   CodeGenFunction::RunCleanupsScope (clang::CodeGen)   
ASTViewAction (clang)   DecltypeTypeLoc (clang)   LocalInstantiationScope (clang)   RuntimeDefinition (clang::ento)   
ASTWriter (clang)   DeclVisitor (clang)   LocalRedeclarationsInfo (clang::serialization)   RValue (clang::CodeGen)   
ASTUnit::ASTWriterData   DeducedPack (clang)   LocalVariableMap (clang::threadSafety)   RValueReferenceType (clang)   
AsTypeExpr (clang)   DeducedTemplateArgument (clang)   LocAsInteger (clang::ento::nonloc)   RValueReferenceTypeLoc (clang)   
atomic_flag   DeductionFailureInfo (clang)   Location (clang::ento::check)   
  S  
AtomicExpr (clang)   DefaultBool (clang::ento)   Location (clang::serialized_diags)   
AtomicType (clang)   DefaultDOTGraphTraits   LocationCheck (clang)   SanitizerArgs (clang::driver)   
AtomicTypeLoc (clang)   DefaultPathComparator (clang::tooling)   LocationContext (clang)   SanitizerBlacklist (clang)   
AtomicTypeLocInfo (clang)   DefaultStmt (clang)   LocationContextManager (clang)   SanitizerMetadata (clang::CodeGen)   
Attr (clang)   DefinedOrUnknownSVal (clang::ento)   LocInfoType (clang)   CodeGenFunction::SanitizerScope (clang::CodeGen)   
HTMLStartTagComment::Attribute (clang::comments)   DefinedSVal (clang::ento)   LockableFactEntry (clang::threadSafety)   SanitizerSet (clang)   
AttributedLocInfo (clang)   DefinedTracker   LogDiagnosticPrinter (clang)   SApply (clang::threadSafety::til)   
AttributedStmt (clang)   MacroDirective::DefInfo (clang)   LogicalErrorHandler   SaveAndRestoreLocation (clang::CodeGen)   
AttributedType (clang)   DefMacroDirective (clang)   LookupResult (clang)   DominatingValue< RValue >::saved_type (clang::CodeGen)   
Type::AttributedTypeBitfields (clang)   DelayedDiagnostic (clang::sema)   LoopAttributes (clang::CodeGen)   SavedStreamPosition (clang)   
AttributedTypeLoc (clang)   DelayedDiagnosticPool (clang::sema)   LoopHint (clang)   Sema::SavePendingInstantiationsAndVTableUsesRAII (clang)   
AttributeFactory (clang)   Sema::DelayedDiagnostics (clang)   LoopInfo (clang::CodeGen)   Sema::SavePendingLocalImplicitInstantiationsRAII (clang)   
AttributeList (clang)   Sema::DelayedDiagnosticsState (clang)   LoopInfoStack (clang::CodeGen)   ScalarEnumerationTraits< FormatStyle::BinaryOperatorStyle > (llvm::yaml)   
AttributePool (clang)   DeltaTree (clang)   APValue::LV   ScalarEnumerationTraits< FormatStyle::BraceBreakingStyle > (llvm::yaml)   
Attributes (clang)   FunctionScopeInfo::WeakObjectProfileTy::DenseMapInfo (clang::sema)   LValue (clang::CodeGen)   ScalarEnumerationTraits< FormatStyle::LanguageKind > (llvm::yaml)   
ExplodedNode::Auditor (clang::ento)   DenseMapInfo< clang::BaseSubobject > (llvm)   APValue::LValuePathEntry (clang)   ScalarEnumerationTraits< FormatStyle::LanguageStandard > (llvm::yaml)   
AutoType (clang)   DenseMapInfo< clang::CanQualType > (llvm)   LValueReferenceType (clang)   ScalarEnumerationTraits< FormatStyle::NamespaceIndentationKind > (llvm::yaml)   
Type::AutoTypeBitfields (clang)   DenseMapInfo< clang::CharUnits > (llvm)   LValueReferenceTypeLoc (clang)   ScalarEnumerationTraits< FormatStyle::PointerAlignmentStyle > (llvm::yaml)   
AutoTypeLoc (clang)   DenseMapInfo< clang::CodeGen::TBAAPathTag > (llvm)   
  M  
ScalarEnumerationTraits< FormatStyle::ShortFunctionStyle > (llvm::yaml)   
CodeGenFunction::AutoVarEmission (clang::CodeGen)   DenseMapInfo< clang::DeclarationName > (llvm)   ScalarEnumerationTraits< FormatStyle::SpaceBeforeParensOptions > (llvm::yaml)   
AvailabilityChange (clang)   DenseMapInfo< clang::FileID > (llvm)   MachO (clang::driver::toolchains)   ScalarEnumerationTraits< FormatStyle::UseTabStyle > (llvm::yaml)   
  B  
DenseMapInfo< clang::GlobalDecl > (llvm)   MachOTool (clang::driver::tools::darwin)   ScanfConversionSpecifier (clang::analyze_scanf)   
DenseMapInfo< clang::ProgramPoint > (llvm)   MacroArgs (clang)   ScanfSpecifier (clang::analyze_scanf)   
BackendConsumer (clang)   DenseMapInfo< clang::QualType > (llvm)   MacroBuilder (clang)   ScanReachableSymbols (clang::ento)   
backward_analysis_tag (clang::dataflow)   DenseMapInfo< clang::Selector > (llvm)   MacroDefinition (clang)   SCFG (clang::threadSafety::til)   
BadConversionSequence (clang)   DenseMapInfo< EditEntry > (llvm)   MacroDirective (clang)   Scope (clang)   
BalancedDelimiterTracker (clang)   DenseMapInfo< ObjCSummaryKey > (llvm)   MacroExpansion (clang)   ScopeContext (clang)   
Base (clang::declvisitor)   DenseMapInfo< StringRef > (llvm)   MacroInfo (clang)   Parser::ScopedContextEntry (clang::ast_matchers::dynamic)   
DynTypedNode::BaseConverter (clang::ast_type_traits)   DenseMapInfoDupKey   make_const_ptr (clang::declvisitor)   ScopedLineState (clang::format)   
DynTypedNode::BaseConverter< CXXCtorInitializer, void > (clang::ast_type_traits)   DependencyCollector (clang)   make_const_ptr (clang)   ScopedLockableFactEntry (clang::threadSafety)   
DynTypedNode::BaseConverter< NestedNameSpecifier, void > (clang::ast_type_traits)   DependencyFileGenerator (clang)   make_const_ptr (clang::comments)   ScratchBuffer (clang)   
DynTypedNode::BaseConverter< NestedNameSpecifierLoc, void > (clang::ast_type_traits)   DependencyOutputOptions (clang)   make_ptr (clang::declvisitor)   ASTContext::SectionInfo (clang)   
DynTypedNode::BaseConverter< QualType, void > (clang::ast_type_traits)   DependentDecltypeType (clang)   make_ptr (clang)   SEHExceptStmt (clang)   
DynTypedNode::BaseConverter< T, typename std::enable_if< std::is_base_of< Decl, T >::value >::type > (clang::ast_type_traits)   DependentDiagnostic (clang)   make_ptr (clang::comments)   SEHFinallyStmt (clang)   
DynTypedNode::BaseConverter< T, typename std::enable_if< std::is_base_of< Stmt, T >::value >::type > (clang::ast_type_traits)   DependentFunctionTemplateSpecializationInfo (clang)   ManagedAnalysis (clang)   SEHLeaveStmt (clang)   
DynTypedNode::BaseConverter< T, typename std::enable_if< std::is_base_of< Type, T >::value >::type > (clang::ast_type_traits)   DependentNameLocInfo (clang)   MangleContext (clang)   SEHTryStmt (clang)   
DynTypedNode::BaseConverter< TemplateArgument, void > (clang::ast_type_traits)   DependentNameType (clang)   MangleNumberingContext (clang)   Selector (clang)   
DynTypedNode::BaseConverter< TypeLoc, void > (clang::ast_type_traits)   DependentNameTypeLoc (clang)   MappingTraits< clang::tooling::Replacement > (llvm::yaml)   SelectorTable (clang)   
BaseSubobject (clang)   DependentScopeDeclRefExpr (clang)   MappingTraits< clang::tooling::TranslationUnitReplacements > (llvm::yaml)   Parser::Sema (clang::ast_matchers::dynamic)   
basic_parser   DependentSizedArrayType (clang)   MappingTraits< FormatStyle > (llvm::yaml)   Sema (clang)   
BasicBlock (clang::threadSafety::til)   DependentSizedArrayTypeLoc (clang)   MapVector (llvm)   Sema (clang::comments)   
BasicValueFactory (clang::ento)   DependentSizedExtVectorType (clang)   MatchFinder::MatchCallback (clang::ast_matchers)   SemaConsumer (clang)   
FullSourceLoc::BeforeThanCompare (clang)   DependentSizedExtVectorTypeLoc (clang)   MatchComparator (clang::threadSafety::til)   Sema::SemaDiagnosticBuilder (clang)   
BeforeThanCompare< RawComment > (clang)   DependentStoredDeclsMap (clang)   MatcherCompletion (clang::ast_matchers::dynamic)   SerializedDiagnosticReader (clang::serialized_diags)   
BeforeThanCompare< SourceLocation > (clang)   DependentTemplateName (clang)   MatcherDescriptor (clang::ast_matchers::dynamic::internal)   SExpr (clang::threadSafety::til)   
BeforeThanCompare< SourceRange > (clang)   DependentTemplateSpecializationLocInfo (clang)   MatchFinder::MatchersByType (clang::ast_matchers)   SExprBuilder (clang::threadSafety)   
binary_function (std)   DependentTemplateSpecializationType (clang)   MatchesAny (clang::tooling)   Sema::SFINAETrap (clang)   
BinaryConditionalOperator (clang)   DependentTemplateSpecializationTypeLoc (clang)   MatchFinder (clang::ast_matchers)   SFunction (clang::threadSafety::til)   
BinaryOp (clang::threadSafety::til)   DependentTypeOfExprType (clang)   MatchFinder::MatchFinderOptions (clang::ast_matchers)   UsingDecl::shadow_iterator (clang)   
BinaryOperator (clang)   ExternalASTSource::Deserializing (clang)   MatchFinder::MatchResult (clang::ast_matchers)   ShuffleVectorExpr (clang)   
BinarySymExpr (clang::ento)   DesignatedInitExpr (clang)   MaterializeTemporaryExpr (clang)   SimpleArray (clang::threadSafety::til)   
Bind (clang::ento::check)   Designation (clang)   max_align_t   SimpleConstraintManager (clang::ento)   
BindArchAction (clang::driver)   Designator (clang)   MemberExpr (clang)   SimpleFormatContext (clang::index)   
StoreManager::BindingsHandler (clang::ento)   DesignatedInitExpr::Designator (clang)   APValue::MemberPointerData   SimpleFunctionCall (clang::ento)   
BinOp (clang::threadSafety::lexpr)   DetectedMultilibs   MemberPointerLocInfo (clang)   SimpleProgramPointTag (clang)   
Bitrig (clang::driver::toolchains)   Diagnostic (clang)   MemberPointerType (clang)   SimpleReducerBase (clang::threadSafety::til)   
UncommonTemplateNameStorage::BitsTag (clang)   DiagnosticBuilder (clang)   DeclaratorChunk::MemberPointerTypeInfo (clang)   simplify_type< ::clang::CanQual< T > > (llvm)   
BlockCall (clang)   DiagnosticConsumer (clang)   MemberPointerTypeLoc (clang)   simplify_type< ::clang::CFGTerminator > (llvm)   
BlockCommandComment (clang::comments)   DiagnosticErrorTrap (clang)   MemberSpecializationInfo (clang)   simplify_type< ::clang::QualType > (llvm)   
Comment::BlockCommandCommentBitfields (clang::comments)   DiagnosticIDs (clang)   MemorizeStatCalls (clang)   simplify_type< clang::ento::CallEventRef< T > > (llvm)   
BlockContentComment (clang::comments)   DiagnosticMapping (clang)   ExternalASTSource::MemoryBufferSizes (clang)   VariantMatcher::SinglePayload (clang::ast_matchers::dynamic)   
BlockCounter (clang::ento)   DiagnosticNoteRenderer (clang)   SourceManager::MemoryBufferSizes (clang)   SizeOfPackExpr (clang)   
BlockDataRegion (clang::ento)   DiagnosticOptions (clang)   MemRegion (clang::ento)   SLocEntry (clang::SrcMgr)   
BlockDecl (clang)   DiagnosticRenderer (clang)   MemRegionManager (clang::ento)   Sema::PragmaStack::Slot (clang)   
BlockEdge (clang)   Diagnostics (clang::ast_matchers::dynamic)   MemRegionRef (clang::threadSafety::til)   SmallDenseMap (llvm)   
BlockEntrance (clang)   DiagnosticsEngine (clang)   MemRegionVal (clang::ento::loc)   SmallSet (llvm)   
BlockExit (clang)   VerifyDiagnosticConsumer::Directive (clang)   MemSpaceRegion (clang::ento)   Solaris (clang::driver::toolchains)   
BlockExpr (clang)   directory_iterator (clang::vfs)   Mergeable (clang)   SourceFileCallbacks (clang::tooling)   
BlockFieldFlags (clang::CodeGen)   DirectoryEntry (clang)   Diagnostics::ErrorContent::Message (clang::ast_matchers::dynamic)   SourceLocation (clang::ast_matchers::dynamic)   
BlockFlags (clang::CodeGen)   DirectoryLookup (clang)   CGObjCRuntime::MessageSendInfo (clang::CodeGen)   SourceLocation (clang)   
BlockInvocationContext (clang)   DirIterImpl (clang::vfs::detail)   MicrosoftVTableContext::MethodVFTableLocation (clang)   SourceManager (clang)   
BlockObjCVariableTraverser (clang::arcmt::trans)   DocumentListTraits< std::vector< FormatStyle > > (llvm::yaml)   MicrosoftMangleContext (clang)   SourceRange (clang::ast_matchers::dynamic)   
PostOrderCFGView::BlockOrderCompare (clang)   DominatingLLVMValue (clang::CodeGen)   MicrosoftVTableContext (clang)   SourceRange (clang)   
BlockPointerType (clang)   DominatingPointer   MigrateAction (clang::arcmt)   RedeclarableTemplateDecl::SpecEntryTraits (clang)   
DeclaratorChunk::BlockPointerTypeInfo (clang)   DominatingPointer< T, false > (clang::CodeGen)   MigrateJobAction (clang::driver)   RedeclarableTemplateDecl::SpecEntryTraits< FunctionTemplateSpecializationInfo > (clang)   
BlockPointerTypeLoc (clang)   DominatingPointer< T, true > (clang::CodeGen)   MigrateSourceAction (clang::arcmt)   Sema::SpecialMemberOverloadResult (clang)   
BlockScopeInfo (clang::sema)   DominatingValue (clang::CodeGen)   MigrationContext (clang::arcmt::trans)   specific_attr_iterator (clang)   
BlockTextRegion (clang::ento)   DominatingValue< RValue > (clang::CodeGen)   MigrationPass (clang::arcmt)   DeclContext::specific_decl_iterator (clang)   
BodyContext (clang::arcmt::trans)   DominatingValue< T * > (clang::CodeGen)   MigrationProcess (clang::arcmt)   SpecifierResult (clang::analyze_format_string)   
BodyFarm (clang)   DominatorTree (clang)   MigratorOptions (clang)   RedeclarableTemplateDecl::SpecIterator (clang)   
BodyTransform (clang::arcmt::trans)   DoStmt (clang)   Minix (clang::driver::toolchains)   SplitQualType (clang)   
BoundNodes (clang::ast_matchers)   DOTGraphTraits< const CallGraph * > (llvm)   MMToken (clang)   EHScopeStack::stable_iterator (clang::CodeGen)   
Sema::BoundTypeDiagnoser1 (clang)   DOTGraphTraits< const CFG * > (llvm)   ModelConsumer (clang::ento)   StackArgumentsSpaceRegion (clang::ento)   
Sema::BoundTypeDiagnoser2 (clang)   DOTGraphTraits< const Stmt * > (llvm)   ModelInjector (clang::ento)   StackFrameContext (clang)   
Sema::BoundTypeDiagnoser3 (clang)   DOTGraphTraits< ExplodedNode * > (llvm)   ModifyAction (clang::arcmt)   StackHintGenerator (clang::ento)   
UninitUse::Branch (clang)   DOTGraphTraits< ModuleManager > (llvm)   Module (clang)   StackHintGeneratorForSymbol (clang::ento)   
Branch (clang::threadSafety::til)   DragonFly (clang::driver::toolchains)   ModuleDependencyCollector (clang)   StackLocalsSpaceRegion (clang::ento)   
BranchCondition (clang::ento::check)   Driver (clang::driver)   ModuleFile (clang::serialization)   StackSpaceRegion (clang::ento)   
BranchFixup (clang::CodeGen)   Dsymutil (clang::driver::tools::darwin)   ModuleLoader (clang)   ASTUnit::StandaloneDiagnostic (clang)   
BranchNodeBuilder (clang::ento)   DsymutilJobAction (clang::driver)   ModuleLoadResult (clang)   ASTUnit::StandaloneFixIt (clang)   
BreakableBlockComment (clang::format)   SubobjectAdjustment::DTB (clang)   ASTReader::PendingMacroInfo::ModuleMacroDataTy (clang)   StandardConversionSequence (clang)   
BreakableLineComment (clang::format)   DumpModuleInfoAction (clang)   ASTReader::ModuleMacroInfo   StaticAssertDecl (clang)   
BreakableSingleLineToken (clang::format)   DumpRawTokensAction (clang)   ModuleManager (clang::serialization)   StaticGlobalSpaceRegion (clang::ento)   
BreakableStringLiteral (clang::format)   DumpTokensAction (clang)   ModuleMap (clang)   Status (clang::vfs)   
BreakableToken (clang::format)   DupKey   ModuleMapParser (clang)   StdPrinter (clang::threadSafety::til)   
BreakStmt (clang)   DynamicTypeInfo (clang::ento)   MSAsmStmt (clang)   InitializationSequence::Step (clang)   
BriefParser (clang::comments)   DynCastAllOfMatcherDescriptor (clang::ast_matchers::dynamic::internal)   MSDependentExistsStmt (clang)   Stmt (clang)   
BugReport (clang::ento)   DynTypedNode (clang::ast_type_traits)   MSPropertyDecl (clang)   Stmt::StmtBitfields (clang)   
BugReportEquivClass (clang::ento)   
  E  
MSPropertyRefExpr (clang)   StmtClassNameTable   
BugReporter (clang::ento)   MSVCToolChain (clang::driver::toolchains)   StmtExpr (clang)   
BugReporterContext (clang::ento)   Commit::Edit (clang::edit)   MultiKeywordSelector (clang)   CodeGenFunction::StmtExprEvaluation (clang::CodeGen)   
BugReporterData (clang::ento)   EditedSource (clang::edit)   MultiLevelTemplateArgumentList (clang)   StmtIterator (clang)   
BugReporterVisitor (clang::ento)   EditsReceiver (clang::edit)   Multilib (clang::driver)   StmtIteratorBase (clang)   
BugReporterVisitorImpl (clang::ento)   EHCatchScope (clang::CodeGen)   MultilibSet (clang::driver)   StmtIteratorImpl (clang)   
BugType (clang::ento)   EHCleanupScope (clang::CodeGen)   MultipleIncludeOpt (clang)   StmtNodeBuilder (clang::ento)   
ContinuousRangeMap::Builder (clang)   EHFilterScope (clang::CodeGen)   MultiplexASTDeserializationListener (clang)   StmtPoint (clang)   
BuildLockset (clang::threadSafety)   EHFlags   MultiplexASTMutationListener (clang)   RecursiveASTVisitor::StmtQueueAction (clang)   
CFG::BuildOptions (clang)   EHScope (clang::CodeGen)   MultiplexConsumer (clang)   StmtRange (clang)   
BuildReturnTypeVector (clang::ast_matchers::dynamic::internal)   EHScopeStack (clang::CodeGen)   MultiplexExternalSemaSource (clang)   StmtVisitor (clang)   
BuildReturnTypeVector< ast_matchers::internal::BindableMatcher< T > > (clang::ast_matchers::dynamic::internal)   EHTerminateScope (clang::CodeGen)   
  N  
StmtVisitorBase (clang)   
BuildReturnTypeVector< ast_matchers::internal::Matcher< T > > (clang::ast_matchers::dynamic::internal)   ElaboratedLocInfo (clang)   PartialDiagnostic::Storage (clang)   
BuiltinBug (clang::ento)   ElaboratedType (clang)   Sema::NameClassification (clang)   PartialDiagnostic::StorageAllocator (clang)   
BuiltinLocInfo (clang)   ElaboratedTypeLoc (clang)   NamedDecl (clang)   Store (clang::threadSafety::til)   
BuiltinType (clang)   ElementRegion (clang::ento)   NamespaceAliasDecl (clang)   StoredDeclsList (clang)   
Type::BuiltinTypeBitfields (clang)   EmitAssemblyAction (clang)   NamespaceDecl (clang)   StoredDeclsMap (clang)   
BuiltinTypeLoc (clang)   EmitBCAction (clang)   NeonIntrinsicInfo   StoredDiagnostic (clang)   
BumpPtrAllocator (llvm)   EmitCodeGenOnlyAction (clang)   NeonTypeFlags (clang)   StoreManager (clang::ento)   
BumpVector (clang)   EmitLLVMAction (clang)   NestedNameSpecifier (clang)   StoreRef (clang::ento)   
BumpVectorContext (clang)   EmitLLVMOnlyAction (clang)   NestedNameSpecifierLoc (clang)   QualType::StreamedQualTypeHelper (clang)   
CodeGenModule::ByrefHelpers (clang::CodeGen)   EmitObjAction (clang)   NestedNameSpecifierLocBuilder (clang)   StringLiteral (clang)   
  C  
EmptyDecl (clang)   NetBSD (clang::driver::toolchains)   StringLiteralParser (clang)   
EmptyPragmaHandler (clang)   NilReceiverBRVisitor (clang::ento)   StringRegion (clang::ento)   
ASTUnit::CachedCodeCompletionResult (clang)   Decl::EmptyShell (clang)   NodeBuilder (clang::ento)   StringSizerHelper (clang)   
Call (clang::threadSafety::til)   Stmt::EmptyShell (clang)   NodeBuilderContext (clang::ento)   SubEngine (clang::ento)   
Call (clang::ento::eval)   EndAnalysis (clang::ento::check)   NodeBuilderWithSinks (clang::ento)   SubobjectAdjustment (clang)   
CallArg (clang::CodeGen)   EndFunction (clang::ento::check)   BugReport::NodeResolver (clang::ento)   SubRegion (clang::ento)   
CallArgList::CallArgCleanup (clang::CodeGen)   EndOfTranslationUnit (clang::ento::check)   NoLocation (clang::CodeGen)   SubstNonTypeTemplateParmExpr (clang)   
CallArgList (clang::CodeGen)   EnterExpressionEvaluationContext (clang)   APValue::NoLValuePath (clang)   SubstNonTypeTemplateParmPackExpr (clang)   
Callback (clang::reachable_code)   HeaderSearchOptions::Entry (clang)   NonLoc (clang::ento)   SubstTemplateTemplateParmPackStorage (clang)   
CallEffects (clang::ento::objc_retain)   EnumConstantDecl (clang)   NonStaticGlobalSpaceRegion (clang::ento)   SubstTemplateTemplateParmStorage (clang)   
CallEnter (clang)   EnumDecl (clang)   NonTypeTemplateParmDecl (clang)   SubstTemplateTypeParmPackType (clang)   
CallEvent   EnumType (clang)   MappingTraits< clang::tooling::Replacement >::NormalizedReplacement (llvm::yaml)   SubstTemplateTypeParmPackTypeLoc (clang)   
CallEvent (clang::ento)   EnumTypeLoc (clang)   Not (clang::threadSafety::lexpr)   SubstTemplateTypeParmType (clang)   
CallEventManager (clang)   Environment (clang::ento)   NoTypoCorrectionCCC (clang)   SubstTemplateTypeParmTypeLoc (clang)   
CallEventRef (clang::ento)   EnvironmentEntry (clang::ento)   NSAPI (clang)   SummaryKey (clang::ento::summMgr)   
CallExitBegin (clang)   EnvironmentManager (clang::ento)   DeclarationNameLoc::NT (clang)   SummaryManager (clang::ento)   
CallExitEnd (clang)   EpsilonPoint (clang)   PartialDiagnostic::NullDiagnostic (clang)   SummaryManagerImpl (clang::ento)   
CallExpr (clang)   EqualsComparator (clang::threadSafety::til)   NullStmt (clang)   SuppressAccessChecks (clang)   
Stmt::CallExprBitfields (clang)   error_category (std)   NumericLiteralParser (clang)   SuppressDebugLocation (clang::CodeGen)   
CallGraph (clang)   Diagnostics::ErrorContent (clang::ast_matchers::dynamic)   
  O  
SuppressInlineDefensiveChecksVisitor (clang::ento)   
CallGraphNode (clang)   Expr::EvalResult (clang)   SVal (clang::ento)   
SExprBuilder::CallingContext (clang::threadSafety)   Expr::EvalStatus (clang)   Sema::ObjCArgInfo (clang)   SValBuilder (clang::ento)   
TypeWithKeyword::CannotCastToThisType (clang)   EvaluatedExprVisitor (clang)   ObjCArrayLiteral (clang)   SwitchCase (clang)   
CanProxy (clang)   EvaluatedStmt (clang)   ObjCAtCatchStmt (clang)   SwitchNodeBuilder (clang::ento)   
CanProxyAdaptor (clang)   Event (clang::ento::check)   ObjCAtDefsFieldDecl (clang)   SwitchStmt (clang)   
CanProxyAdaptor< BlockPointerType > (clang)   EventDispatcher (clang::ento)   ObjCAtFinallyStmt (clang)   SymExpr::symbol_iterator (clang::ento)   
CanProxyAdaptor< ComplexType > (clang)   Sema::InstantiatingTemplate::ExceptionSpecification (clang)   ObjCAtSynchronizedStmt (clang)   SymbolCast (clang::ento)   
CanProxyAdaptor< DecltypeType > (clang)   FunctionProtoType::ExceptionSpecInfo (clang)   ObjCAtThrowStmt (clang)   SymbolConjured (clang::ento)   
CanProxyAdaptor< DependentSizedExtVectorType > (clang)   ExpansionInfo (clang::SrcMgr)   ObjCAtTryStmt (clang)   SymbolData (clang::ento)   
CanProxyAdaptor< EnumType > (clang)   VerifyDiagnosticConsumer::ExpectedData (clang)   ObjCAutoreleasePoolStmt (clang)   SymbolDerived (clang::ento)   
CanProxyAdaptor< ExtVectorType > (clang)   ExplicitCastExpr (clang)   ObjCBoolLiteralExpr (clang)   SymbolExtent (clang::ento)   
CanProxyAdaptor< FunctionNoProtoType > (clang)   ExplodedGraph (clang::ento)   ObjCBoxedExpr (clang)   SymbolicRegion (clang::ento)   
CanProxyAdaptor< FunctionProtoType > (clang)   ExplodedNode (clang::ento)   ObjCBridgedCastExpr (clang)   SymbolManager (clang::ento)   
CanProxyAdaptor< FunctionType > (clang)   ExplodedNodeSet (clang::ento)   ObjCCategoriesInfo (clang::serialization)   SymbolMetadata (clang::ento)   
CanProxyAdaptor< LValueReferenceType > (clang)   Expr (clang)   ObjCCategoryDecl (clang)   SymbolReaper (clang::ento)   
CanProxyAdaptor< MemberPointerType > (clang)   Stmt::ExprBitfields (clang)   ObjCCategoryImplDecl (clang)   SymbolRegionValue (clang::ento)   
CanProxyAdaptor< ObjCObjectPointerType > (clang)   ExprEngine (clang::ento)   ObjCCompatibleAliasDecl (clang)   SymbolVal (clang::ento::nonloc)   
CanProxyAdaptor< ObjCObjectType > (clang)   Sema::ExpressionEvaluationContextRecord (clang)   ObjCContainerDecl (clang)   SymbolVisitor (clang::ento)   
CanProxyAdaptor< PointerType > (clang)   ExpressionTraitExpr (clang)   ObjCDeclSpec (clang)   SymExpr (clang::ento)   
CanProxyAdaptor< RecordType > (clang)   ExprIterator (clang)   ObjCDictionaryElement (clang)   SymIntExpr (clang::ento)   
CanProxyAdaptor< ReferenceType > (clang)   ExprWithCleanups (clang)   ObjCDictionaryLiteral (clang)   SymSymExpr (clang::ento)   
CanProxyAdaptor< RValueReferenceType > (clang)   Stmt::ExprWithCleanupsBitfields (clang)   ObjCEncodeExpr (clang)   SyntaxOnlyAction (clang)   
CanProxyAdaptor< TagType > (clang)   ExtensionRAIIObject (clang)   ObjCForCollectionStmt (clang)   Sema::SynthesizedFunctionScope (clang)   
CanProxyAdaptor< TemplateTypeParmType > (clang)   ExternalASTSource (clang)   ObjCImplDecl (clang)   HeaderSearchOptions::SystemHeaderPrefix (clang)   
CanProxyAdaptor< TypeOfType > (clang)   ExternalHeaderFileInfoSource (clang)   ObjCImplementationContext (clang::arcmt::trans)   
  T  
CanProxyAdaptor< UnaryTransformType > (clang)   ExternalIdentifierLookup (clang)   ObjCImplementationDecl (clang)   
CanProxyAdaptor< VectorType > (clang)   ExternalPreprocessingRecordSource (clang)   ObjCIndirectCopyRestoreExpr (clang)   TagDecl (clang)   
CanProxyBase (clang)   ExternalPreprocessorSource (clang)   Stmt::ObjCIndirectCopyRestoreExprBitfields (clang)   TagType (clang)   
CanQual (clang)   ExternalSemaSource (clang)   ObjCInterfaceDecl (clang)   TagTypeLoc (clang)   
CanTypeIterator (clang)   ExternalSLocEntrySource (clang)   ObjCInterfaceLocInfo (clang)   TaintManager (clang::ento)   
CapabilityExpr (clang::threadSafety)   ExternalVTableUse (clang)   ObjCInterfaceType (clang)   TaintMap (clang::ento)   
CapExprSet (clang::threadSafety)   FunctionType::ExtInfo (clang)   ObjCInterfaceTypeLoc (clang)   TargetCodeGenInfo (clang)   
CapturingScopeInfo::Capture (clang::sema)   FunctionProtoType::ExtProtoInfo (clang)   ObjCIsaExpr (clang)   TargetCXXABI (clang)   
CapturedStmt::Capture (clang)   ExtQuals (clang)   ObjCIvarDecl (clang)   TargetInfo (clang)   
BlockDecl::Capture (clang)   ExtQualsTypeCommonBase (clang)   ObjCIvarRefExpr (clang)   TargetOptions (clang)   
CGBlockInfo::Capture (clang::CodeGen)   ExtVectorElementExpr (clang)   ObjCIvarRegion (clang::ento)   TBAAPathTag (clang::CodeGen)   
CapturedDecl (clang)   ExtVectorType (clang)   ObjCList (clang)   TCEToolChain (clang::driver::toolchains)   
CapturedDiagList (clang::arcmt)   ExtVectorTypeLoc (clang)   ObjCListBase (clang)   TemplateArgument (clang)   
CapturedRegionScopeInfo (clang::sema)   
  F  
ObjCMessageExpr (clang)   TemplateArgumentList (clang)   
CapturedStmt (clang)   ObjCMethodCall (clang)   TemplateArgumentListInfo (clang)   
CapturingScopeInfo (clang::sema)   InitializationSequence::Step::F (clang)   ObjCMethodDecl (clang)   TemplateArgumentLoc (clang)   
CaseStmt (clang)   FactEntry (clang::threadSafety)   ObjCMethodList (clang)   TemplateArgumentLocContainerIterator (clang)   
Cast (clang::threadSafety::til)   FactManager (clang::threadSafety)   ObjCMigrateAction (clang::arcmt)   TemplateArgumentLocInfo (clang)   
cast_convert_decl_context (clang)   BlockCounter::Factory (clang::ento)   ObjCNoReturn (clang)   TemplateArgumentLocInventIterator (clang)   
cast_convert_decl_context< ToTy, true > (clang)   FactSet (clang::threadSafety)   ObjCObjectPointerType (clang)   TemplateDecl (clang)   
cast_convert_val< ::clang::DeclContext, FromTy *, FromTy * > (llvm)   PreprocessorOptions::FailedModulesSet (clang)   ObjCObjectPointerTypeLoc (clang)   TemplateDeclInstantiator (clang)   
cast_convert_val< ::clang::DeclContext, FromTy, FromTy > (llvm)   FallbackCommand (clang::driver)   ObjCObjectType (clang)   TemplateDeductionInfo (clang::sema)   
cast_convert_val< const ::clang::DeclContext, FromTy *, FromTy * > (llvm)   FastFoldingSetNode (llvm)   Type::ObjCObjectTypeBitfields (clang)   TemplateDiffTypes (clang)   
cast_convert_val< const ::clang::DeclContext, FromTy, FromTy > (llvm)   Field (clang::threadSafety::til)   ObjCObjectTypeImpl (clang)   TemplateIdAnnotation (clang)   
cast_convert_val< ToTy, const ::clang::DeclContext *, const ::clang::DeclContext * > (llvm)   CodeGenFunction::FieldConstructionScope (clang::CodeGen)   ObjCObjectTypeLoc (clang)   TemplateName (clang)   
cast_convert_val< ToTy, const ::clang::DeclContext, const ::clang::DeclContext > (llvm)   FieldDecl (clang)   ObjCPropertyDecl (clang)   TemplateNameLocInfo (clang)   
cast_convert_val< ToTy,::clang::DeclContext *,::clang::DeclContext * > (llvm)   FieldDeclarator (clang)   ObjCPropertyImplDecl (clang)   TemplateParameterList (clang)   
cast_convert_val< ToTy,::clang::DeclContext,::clang::DeclContext > (llvm)   DesignatedInitExpr::FieldDesignator (clang)   ObjCPropertyRefExpr (clang)   TemplateParmPosition (clang)   
CastExpr (clang)   FieldRegion (clang::ento)   ObjCProtocolDecl (clang)   TemplatePartialOrderingContext (clang)   
Stmt::CastExprBitfields (clang)   File (clang::vfs)   ObjCProtocolExpr (clang)   TemplateSpecCandidate (clang)   
EHScope::CatchBitFields (clang::CodeGen)   FileData (clang)   ObjCProtocolList (clang)   TemplateSpecCandidateSet (clang)   
CFG (clang)   FileEntry (clang)   ObjCProtocolListLocInfo (clang)   TemplateSpecializationLocInfo (clang)   
CFGAutomaticObjDtor (clang)   FileID (clang)   ObjCRuntime (clang)   TemplateSpecializationType (clang)   
CFGBaseDtor (clang)   FileInfo (clang::SrcMgr)   ObjCSelectorExpr (clang)   TemplateSpecializationTypeLoc (clang)   
CFGBlock (clang)   FileManager (clang)   ObjCStringLiteral (clang)   TemplateTemplateParmDecl (clang)   
CFGBlockInfo (clang::threadSafety)   FileMatchTrie (clang::tooling)   ObjCStringRegion (clang::ento)   TemplateTypeParmDecl (clang)   
PostOrderCFGView::CFGBlockSet (clang)   FileMatchTrieNode (clang::tooling)   ObjCSubscriptRefExpr (clang)   TemplateTypeParmType (clang)   
CFGCallback (clang)   FileOffset (clang::edit)   LiveVariables::Observer (clang)   TemplateTypeParmTypeLoc (clang)   
CFGDeleteDtor (clang)   FileRemapper (clang::arcmt)   Sema::OffsetOfComponent (clang)   TreeTransform::TemporaryBase (clang)   
CFGElement (clang)   FileScopeAsmDecl (clang)   OffsetOfExpr (clang)   Sema::TentativeAnalysisScope (clang)   
CFGImplicitDtor (clang)   PathDiagnosticConsumer::FilesMade (clang::ento)   OffsetOfExpr::OffsetOfNode (clang)   Terminal (clang::threadSafety::lexpr)   
CFGInitializer (clang)   FileSystem (clang::vfs)   UnqualifiedId::OFI (clang)   Terminator (clang::threadSafety::til)   
CFGMemberDtor (clang)   FileSystemOptions (clang)   OMPAlignedClause (clang)   TextComment (clang::comments)   
CFGNewAllocator (clang)   FileSystemStatCache (clang)   OMPAtomicDirective (clang)   Comment::TextCommentBitfields (clang::comments)   
CFGReverseBlockReachabilityAnalysis (clang)   LookupResult::Filter (clang)   OMPBarrierDirective (clang)   TextDiagnostic (clang)   
CFGStmt (clang)   EHScope::FilterBitFields (clang::CodeGen)   OMPCaptureClause (clang)   TextDiagnosticBuffer (clang)   
CFGStmtMap (clang)   MultilibSet::FilterCallback (clang::driver)   OMPClause (clang)   TextDiagnosticPrinter (clang)   
CFGTemporaryDtor (clang)   ObjCInterfaceDecl::filtered_category_iterator (clang)   OMPClauseReader (clang)   TextTokenRetokenizer (clang::comments)   
CFGTerminator (clang)   OMPExecutableDirective::filtered_clause_iterator (clang)   OMPClauseVisitor (clang)   ThisAdjustment (clang)   
CFGVisitor (clang::threadSafety)   DeclContext::filtered_decl_iterator (clang)   OMPClauseVisitorBase (clang)   ThreadSafeRefCountedBase (llvm)   
CFGWalker (clang::threadSafety)   CFGBlock::FilteredCFGBlockIterator (clang)   OMPClauseWriter (clang)   ThreadSafetyAnalyzer (clang::threadSafety)   
CGBitFieldInfo (clang::CodeGen)   CFGBlock::FilterOptions (clang)   OMPCollapseClause (clang)   ThreadSafetyHandler (clang::threadSafety)   
CGBlockInfo (clang::CodeGen)   final (clang::ast_matchers::dynamic)   OMPCopyinClause (clang)   ThreadSafetyReporter (clang::threadSafety)   
CGBuilderInserter (clang::CodeGen)   final (clang::format)   OMPCopyprivateClause (clang)   ThunkInfo (clang)   
CodeGenFunction::CGCapturedStmtInfo (clang::CodeGen)   CodeGenFunction::FinallyInfo (clang::CodeGen)   OMPCriticalDirective (clang)   TILPrinter (clang::threadSafety)   
CGCUDARuntime (clang::CodeGen)   FindLastStoreBRVisitor (clang::ento)   OMPDefaultClause (clang)   Token (clang)   
CGCXXABI (clang::CodeGen)   FindOverriddenMethodData   OMPExecutableDirective (clang)   Token (clang::comments)   
CGDebugInfo (clang::CodeGen)   OverloadExpr::FindResult (clang)   OMPFinalClause (clang)   TokenAnnotator (clang::format)   
CGFunctionInfo (clang::CodeGen)   FindSuperCallVisitor   OMPFirstprivateClause (clang)   TokenConcatenation (clang)   
CGObjCRuntime (clang::CodeGen)   StoreManager::FindUniqueBinding (clang::ento)   OMPFlushClause (clang)   Parser::TokenInfo (clang::ast_matchers::dynamic)   
CGOpenCLRuntime (clang::CodeGen)   FixedArgCountMatcherDescriptor (clang::ast_matchers::dynamic::internal)   OMPFlushDirective (clang)   TokenLexer (clang)   
CGOpenMPRuntime (clang::CodeGen)   FixedCompilationDatabase (clang::tooling)   OMPForDirective (clang)   TokenRewriter (clang)   
CGRecordLayout (clang::CodeGen)   FixedSizeTemplateParameterList (clang)   OMPForSimdDirective (clang)   TokenRole (clang::format)   
ChainedASTReaderListener (clang)   FixItAction (clang)   OMPIfClause (clang)   TokenValue (clang)   
ChainedDiagnosticConsumer (clang)   FixItHint (clang)   OMPLastprivateClause (clang)   Tool (clang::driver)   
CharacterLiteral (clang)   FixItOptions (clang)   OMPLinearClause (clang)   ToolAction (clang::tooling)   
Stmt::CharacterLiteralBitfields (clang)   FixItRecompile (clang)   OMPLoopDirective (clang)   ToolChain (clang::driver)   
CharLiteralParser (clang)   FixItRewriter (clang)   OMPMasterDirective (clang)   ToolInvocation (clang::tooling)   
CharSourceRange (clang)   EHScopeStack::Cleanup::Flags (clang::CodeGen)   OMPMergeableClause (clang)   BasicBlock::TopologyNode (clang::threadSafety::til)   
CharUnits (clang)   FloatingLiteral (clang)   OMPNowaitClause (clang)   TParamCommandComment (clang::comments)   
CheckAction (clang::arcmt)   Stmt::FloatingLiteralBitfields (clang)   OMPNumThreadsClause (clang)   TrackConstraintBRVisitor (clang::ento)   
Checker (clang::ento)   FoldingSet (llvm)   OMPOrderedClause (clang)   Transaction (clang::arcmt)   
Checker< check::_VoidCheck > (clang::ento)   FoldingSetNode (llvm)   OMPOrderedDirective (clang)   TransformActions (clang::arcmt)   
CheckerBase (clang::ento)   FoldingSetTrait< ArgEffect > (llvm)   OMPParallelDirective (clang)   TranslationUnitDecl (clang)   
CheckerContext (clang::ento)   FoldingSetTrait< RetEffect > (llvm)   OMPParallelForDirective (clang)   TranslationUnitReplacements (clang::tooling)   
CheckerDocumentation (clang::ento)   FoldingSetTrait< SValData > (llvm)   OMPParallelForSimdDirective (clang)   Traversal (clang::threadSafety::til)   
CheckerFn< RET()> (clang::ento)   FoldingSetTrait< SValPair > (llvm)   OMPParallelSectionsDirective (clang)   TreeTransform (clang)   
CheckerFn< RET(P1)> (clang::ento)   FormatSpecifier (clang::analyze_format_string)   OMPPrivateClause (clang)   true_type (std)   
CheckerFn< RET(P1, P2)> (clang::ento)   FormatStringHandler (clang::analyze_format_string)   CodeGenFunction::OMPPrivateScope (clang::CodeGen)   Type (clang)   
CheckerFn< RET(P1, P2, P3)> (clang::ento)   FormatStyle (clang::format)   OMPProcBindClause (clang)   TypeAliasDecl (clang)   
CheckerFn< RET(P1, P2, P3, P4)> (clang::ento)   FormatToken (clang::format)   OMPReadClause (clang)   TypeAliasTemplateDecl (clang)   
CheckerFn< RET(P1, P2, P3, P4, P5)> (clang::ento)   FormatTokenSource (clang::format)   OMPReductionClause (clang)   DeclaratorChunk::TypeAndRange (clang)   
CheckerRegistry::CheckerInfo (clang::ento)   ForStmt (clang)   OMPSafelenClause (clang)   TypeDecl (clang)   
CheckerManager (clang::ento)   forward_analysis_tag (clang::dataflow)   OMPScheduleClause (clang)   TypedefDecl (clang)   
CheckerOptInfo (clang::ento)   ForwardingDiagnosticConsumer (clang)   OMPSectionDirective (clang)   TypedefNameDecl (clang)   
CheckerProgramPointTag (clang::ento)   Sema::FPContractStateRAII (clang)   OMPSectionsDirective (clang)   TypedefType (clang)   
CheckerRegistry (clang::ento)   FPOptions (clang)   OMPSeqCstClause (clang)   TypedefTypeLoc (clang)   
CheckName (clang::ento)   FreeBSD (clang::driver::toolchains)   OMPSharedClause (clang)   Sema::TypeDiagnoser (clang)   
ChooseExpr (clang)   CXXRecordDecl::friend_iterator (clang)   OMPSimdDirective (clang)   TypedRegion (clang::ento)   
CodeCompletionString::Chunk (clang)   FriendDecl (clang)   OMPSingleDirective (clang)   TypedValueRegion (clang::ento)   
Clang (clang::driver::tools)   FriendTemplateDecl (clang)   OMPTargetDirective (clang)   TypeIdx (clang::serialization)   
ClangAs (clang::driver::tools)   FrontendAction (clang)   OMPTaskDirective (clang)   TypeInfo (clang)   
ClangStripOutputAdjuster (clang::tooling)   FrontendActionFactory (clang::tooling)   OMPTaskwaitDirective (clang)   TypeInfo   
ClangSyntaxOnlyAdjuster (clang::tooling)   FrontendInputFile (clang)   OMPTaskyieldDirective (clang)   DeclaratorChunk::TypeInfoCommon (clang)   
ClangTool (clang::tooling)   FrontendOptions (clang)   OMPTeamsDirective (clang)   TypeLoc (clang)   
Expr::Classification (clang)   FullComment (clang::comments)   OMPThreadPrivateDecl (clang)   TypeLocBuilder (clang)   
ClassScopeFunctionSpecializationDecl (clang)   Sema::FullExprArg (clang)   OMPUntiedClause (clang)   TypeLocReader (clang)   
ClassTemplateDecl (clang)   FullSourceLoc (clang)   OMPUpdateClause (clang)   TypeLocVisitor (clang)   
ClassTemplatePartialSpecializationDecl (clang)   Function (clang::threadSafety::til)   OMPVarListClause (clang)   TypeOfExprType (clang)   
ClassTemplateSpecializationDecl (clang)   FunctionArgList (clang::CodeGen)   OMPWriteClause (clang)   TypeOfExprTypeLoc (clang)   
EHScopeStack::Cleanup (clang::CodeGen)   FunctionCallFilterCCC (clang)   OpaquePtr (clang)   TypeOfExprTypeLocInfo (clang)   
EHScope::CleanupBitFields (clang::CodeGen)   FunctionDecl (clang)   OpaqueValueExpr (clang)   TypeofLikeTypeLoc (clang)   
Code (clang::threadSafety::til)   FunctionLocInfo (clang)   CodeGenFunction::OpaqueValueMapping (clang::CodeGen)   TypeofLocInfo (clang)   
CodeCompleteConsumer (clang)   FunctionNoProtoType (clang)   CodeGenFunction::OpaqueValueMappingData (clang::CodeGen)   TypeOfType (clang)   
Sema::CodeCompleteExpressionData   FunctionNoProtoTypeLoc (clang)   OpenBSD (clang::driver::toolchains)   TypeOfTypeLoc (clang)   
CodeCompleteOptions   FunctionParmPackExpr (clang)   OpenCLOptions (clang)   TypeOfTypeLocInfo (clang)   
CodeCompletionAllocator (clang)   FunctionProtoType (clang)   OptionalAmount (clang::analyze_format_string)   TypePropertyCache (clang)   
CodeCompletionBuilder (clang)   FunctionProtoTypeLoc (clang)   OptionalFlag (clang::analyze_format_string)   TypeSourceInfo (clang)   
CodeCompletionContext (clang)   FunctionScopeInfo (clang::sema)   Or (clang::threadSafety::lexpr)   TypeSpecLocInfo (clang)   
CodeCompletionHandler (clang)   FunctionSummariesTy (clang::ento)   OrderGlobalInits (clang::CodeGen)   TypeSpecTypeLoc (clang)   
CodeCompletionResult (clang)   FunctionTemplateDecl (clang)   Sema::OriginalCallArg (clang)   Sema::TypeTagData (clang)   
CodeCompletionString (clang)   FunctionTemplateSpecializationInfo (clang)   OverlayFileSystem (clang::vfs)   AttributeList::TypeTagForDatatypeData (clang)   
CodeCompletionTUInfo (clang)   FunctionTextRegion (clang::ento)   OverloadCandidate (clang)   TypeTraitExpr (clang)   
CodeGenABITypes (clang::CodeGen)   FunctionType (clang)   CodeCompleteConsumer::OverloadCandidate (clang)   Stmt::TypeTraitExprBitfields (clang)   
CodeGenAction (clang)   Type::FunctionTypeBitfields (clang)   OverloadCandidateSet (clang)   TypeVisitor (clang)   
CodeGenerator (clang)   DeclaratorChunk::FunctionTypeInfo (clang)   Diagnostics::OverloadContext (clang::ast_matchers::dynamic)   TypeWithKeyword (clang)   
CodeGenFunction (clang::CodeGen)   FunctionTypeLoc (clang)   OverloadedMatcherDescriptor (clang::ast_matchers::dynamic::internal)   Type::TypeWithKeywordBitfields (clang)   
CodeGenModule (clang::CodeGen)   Future (clang::threadSafety::til)   OverloadedTemplateStorage (clang)   TypoCorrection (clang)   
CodeGenOptions (clang)   
  G  
OverloadExpr (clang)   TypoCorrectionConsumer (clang)   
CodeGenOptionsBase (clang)   OverridingMethods (clang)   TypoExpr (clang)   
CodeGenPGO (clang::CodeGen)   MigrationContext::GCAttrOccurrence (clang::arcmt::trans)   
  P  
  U  
CodeGenTBAA (clang::CodeGen)   GCAttrsTraverser (clang::arcmt::trans)   
CodeGenTypeCache (clang::CodeGen)   GCCAsmStmt (clang)   SubobjectAdjustment::P (clang)   Parser::UnannotatedTentativeParsingAction   
CodeGenTypes (clang::CodeGen)   Generic_GCC::GCCInstallationDetector (clang::driver::toolchains)   PackDeductionScope   UnaryExprOrTypeTraitExpr (clang)   
CodeGenVTables (clang::CodeGen)   GCCollectableCallsTraverser (clang::arcmt::trans)   PackExpansionExpr (clang)   Stmt::UnaryExprOrTypeTraitExprBitfields (clang)   
CodeInjector (clang)   TargetInfo::GCCRegAlias (clang)   PackExpansionType (clang)   UnaryOp (clang::threadSafety::til)   
CodeTextRegion (clang::ento)   Generic_GCC::GCCVersion (clang::driver::toolchains)   PackExpansionTypeLoc (clang)   UnaryOperator (clang)   
Parser::CodeTokenizer (clang::ast_matchers::dynamic)   GenerateModuleAction (clang)   PackExpansionTypeLocInfo (clang)   UnaryTransformType (clang)   
CollectMatchesCallback (clang::ast_matchers::internal)   GeneratePCHAction (clang)   pair (std)   UnaryTransformTypeLoc (clang)   
ColonProtectionRAIIObject (clang)   GeneratePTHAction (clang)   ParagraphComment (clang::comments)   UnaryTransformTypeLocInfo (clang)   
Command (clang::driver)   Generic_ELF (clang::driver::toolchains)   Comment::ParagraphCommentBitfields (clang::comments)   UncommonTemplateNameStorage (clang)   
CommandInfo (clang::comments)   Generic_GCC (clang::driver::toolchains)   ParamCommandComment (clang::comments)   Undefined (clang::threadSafety::til)   
CommandTraits (clang::comments)   GenericSelectionExpr (clang)   Comment::ParamCommandCommentBitfields (clang::comments)   UndefinedVal (clang::ento)   
CommaSeparatedList (clang::format)   GlobalCodeCompletionAllocator (clang)   DeclaratorChunk::ParamInfo (clang)   UndefMacroDirective (clang)   
Comment (clang::comments)   GlobalDecl (clang)   ParenBraceBracketBalancer (clang)   UndefOrNullArgVisitor (clang::ento)   
Comment::CommentBitfields (clang::comments)   GlobalImmutableSpaceRegion (clang::ento)   ParenExpr (clang)   APValue::UninitArray (clang)   
CommentHandler (clang)   GlobalInternalSpaceRegion (clang::ento)   ParenListExpr (clang)   APValue::UninitStruct (clang)   
CommentOptions (clang)   GlobalModuleIndex (clang)   ParenLocInfo (clang)   UninitUse (clang)   
CommentToXMLConverter (clang::index)   GlobalsSpaceRegion (clang::ento)   ParenState (clang::format)   UninitVariablesAnalysisStats (clang)   
CommentVisitor (clang::comments)   GlobalSystemSpaceRegion (clang::ento)   ParentMap (clang)   UninitVariablesHandler (clang)   
CommentVisitorBase (clang::comments)   GNUNullExpr (clang)   ParenType (clang)   UnionOpaquePtr (clang)   
Commit (clang::edit)   GnuTool (clang::driver::tools)   ParenTypeLoc (clang)   UniqueVirtualMethod (clang)   
VarTemplateDecl::Common (clang)   Goto (clang::threadSafety::til)   ParmVarDecl (clang)   UnknownSpaceRegion (clang::ento)   
ClassTemplateDecl::Common (clang)   GotoLabel (clang::ento::loc)   VarDecl::ParmVarDeclBitfields (clang)   UnknownVal (clang::ento)   
FunctionTemplateDecl::Common (clang)   GotoStmt (clang)   ParsedAttributes (clang)   UnqualifiedId (clang)   
Common (clang::driver::tools::gcc)   CFG::graph_iterator (clang)   ParsedAttrInfo   UnqualTypeLoc (clang)   
CommonBase   GraphTraits< ::clang::CFG * > (llvm)   ParsedSourceLocation (clang)   Module::UnresolvedConflict (clang)   
RedeclarableTemplateDecl::CommonBase (clang)   GraphTraits< ::clang::CFGBlock * > (llvm)   ParsedTemplateArgument (clang)   Module::UnresolvedExportDecl (clang)   
CommonOptionsParser (clang::tooling)   GraphTraits< ::clang::DominatorTree * > (llvm)   ParseModelFileAction (clang::ento)   UnresolvedLookupExpr (clang)   
PPConditionalDirectiveRecord::CondDirectiveLoc::Comp (clang)   GraphTraits< ::clang::DomTreeNode * > (llvm)   Parser (clang::ast_matchers::dynamic)   UnresolvedMemberExpr (clang)   
Comparator (clang::threadSafety::til)   GraphTraits< clang::CallGraph * > (llvm)   Parser (clang)   UnresolvedSet (clang)   
Compilation (clang::driver)   GraphTraits< clang::CallGraphNode * > (llvm)   Parser (clang::comments)   UnresolvedSetImpl (clang)   
CompilationDatabase (clang::tooling)   GraphTraits< clang::ento::ExplodedNode * > (llvm)   parser< clang::ParsedSourceLocation > (llvm::cl)   UnresolvedSetIterator (clang)   
CompilationDatabasePlugin (clang::tooling)   GraphTraits< clang::Stmt * > (llvm)   ParserValue (clang::ast_matchers::dynamic)   UnresolvedUsingType (clang)   
Compile (clang::driver::tools::visualstudio)   GraphTraits< const ::clang::CFG * > (llvm)   Parser::ParseScope (clang)   UnresolvedUsingTypeLoc (clang)   
Compile (clang::driver::tools::gcc)   GraphTraits< const ::clang::CFGBlock * > (llvm)   ParsingDeclarator (clang)   UnresolvedUsingTypenameDecl (clang)   
CompileCommand (clang::tooling)   GraphTraits< const clang::CallGraph * > (llvm)   ParsingDeclRAIIObject (clang)   UnresolvedUsingValueDecl (clang)   
CompileJobAction (clang::driver)   GraphTraits< const clang::CallGraphNode * > (llvm)   ParsingDeclSpec (clang)   UnsafeQualTypeDenseMapInfo (clang::serialization)   
CompileJobAnalyzer (clang::tooling)   GraphTraits< const clang::ento::ExplodedNode * > (llvm)   MatchFinder::ParsingDoneTestCallback (clang::ast_matchers)   UnusedInputDiagConsumer (clang::tooling)   
CompilerInstance (clang)   GraphTraits< const clang::Stmt * > (llvm)   ParsingFieldDeclarator (clang)   UnwrappedLine (clang::format)   
CompilerInvocation (clang)   GraphTraits< Inverse< ::clang::CFG * > > (llvm)   PartialDiagnostic (clang)   UnwrappedLineConsumer (clang::format)   
CompilerInvocationBase (clang)   GraphTraits< Inverse< ::clang::CFGBlock * > > (llvm)   PathComparator (clang::tooling)   UnwrappedLineNode (clang::format)   
CompleteObject   GraphTraits< Inverse< const ::clang::CFG * > > (llvm)   PathDiagnostic (clang::ento)   UnwrappedLineParser (clang::format)   
ComplexType (clang)   GraphTraits< Inverse< const ::clang::CFGBlock * > > (llvm)   PathDiagnosticCallPiece (clang::ento)   UpdateOnReturn (clang)   
ComplexTypeLoc (clang)   GraphTraits< ModuleManager > (llvm)   PathDiagnosticConsumer (clang::ento)   UserDefinedConversionSequence (clang)   
CompoundAssignOperator (clang)   GRBugReporter (clang::ento)   PathDiagnosticControlFlowPiece (clang::ento)   UserDefinedLiteral (clang)   
CompoundLiteralExpr (clang)   GreaterThanIsOperatorScope (clang)   PathDiagnosticEventPiece (clang::ento)   UsingDecl (clang)   
CompoundLiteralRegion (clang::ento)   
  H  
PathDiagnosticLocation (clang::ento)   UsingDirectiveDecl (clang)   
CompoundScopeInfo (clang::sema)   PathDiagnosticLocationPair (clang::ento)   UsingShadowDecl (clang)   
Sema::CompoundScopeRAII (clang)   EHCatchScope::Handler (clang::CodeGen)   PathDiagnosticMacroPiece (clang::ento)   
  V  
CompoundStatementIndenter (clang::format)   has_arg_iterator_range (clang::threadSafety)   PathDiagnosticPiece (clang::ento)   
CompoundStmt (clang)   ConcreteTypeLoc::HasNoInnerType (clang)   PathDiagnosticRange (clang::ento)   VAArgExpr (clang)   
Stmt::CompoundStmtBitfields (clang)   Module::HeaderDirective (clang)   PathDiagnosticSpotPiece (clang::ento)   ValueDecl (clang)   
CompoundVal (clang::ento::nonloc)   HeaderFileInfo (clang)   PathPieces (clang::ento)   ValueType (clang::threadSafety::til)   
CompoundValData (clang::ento)   HeaderFileInfoTrait (clang::serialization::reader)   PCHGenerator (clang)   VarDecl (clang)   
ConcreteInt (clang::ento::loc)   HeaderMap (clang)   ASTReader::PendingMacroInfo::PCHMacroDataTy (clang)   LocalVariableMap::VarDefinition (clang::threadSafety)   
ConcreteInt (clang::ento::nonloc)   HeaderSearch (clang)   PCHValidator (clang)   Variable (clang::threadSafety::til)   
ConcreteTypeLoc (clang)   HeaderSearchOptions (clang)   PathDiagnosticConsumer::PDFileEntry (clang::ento)   VariableArrayType (clang)   
ASTUnit::ConcurrencyCheck (clang)   HeapSpaceRegion (clang::ento)   CodeGenFunction::PeepholeProtection (clang::CodeGen)   VariableArrayTypeLoc (clang)   
EHScopeStack::ConditionalCleanup1 (clang::CodeGen)   Hexagon_TC (clang::driver::toolchains)   Phi (clang::threadSafety::til)   VariadicFuncMatcherDescriptor (clang::ast_matchers::dynamic::internal)   
EHScopeStack::ConditionalCleanup2 (clang::CodeGen)   HMapBucket (clang)   PluginASTAction (clang)   VariadicOperatorMatcherDescriptor (clang::ast_matchers::dynamic::internal)   
EHScopeStack::ConditionalCleanup3 (clang::CodeGen)   HMapHeader (clang)   TemplateArgumentLocContainerIterator::pointer (clang)   VariantMatcher::VariadicOpPayload (clang::ast_matchers::dynamic)   
EHScopeStack::ConditionalCleanup4 (clang::CodeGen)   HTMLEndTagComment (clang::comments)   TemplateArgumentLocInventIterator::pointer (clang)   VariantMatcher (clang::ast_matchers::dynamic)   
CodeGenFunction::ConditionalEvaluation (clang::CodeGen)   HTMLPrintAction (clang)   ResultBuilder::ShadowMapEntry::iterator::pointer   VariantValue (clang::ast_matchers::dynamic)   
ConditionalOperator (clang)   HTMLStartTagComment (clang::comments)   PointerEscape (clang::ento::check)   VarMapBuilder (clang::threadSafety)   
ConditionBRVisitor (clang::ento)   Comment::HTMLStartTagCommentBitfields (clang::comments)   PointerLikeLocInfo (clang)   VarRegion (clang::ento)   
ConditionTruthVal (clang::ento)   HTMLTagComment (clang::comments)   PointerLikeTypeLoc (clang)   VarTemplateDecl (clang)   
Module::Conflict (clang)   Comment::HTMLTagCommentBitfields (clang::comments)   PointerLikeTypeTraits< ::clang::AnyFunctionDecl > (llvm)   VarTemplatePartialSpecializationDecl (clang)   
CFG::const_graph_iterator (clang)   
  I  
PointerLikeTypeTraits< ::clang::ExtQuals * > (llvm)   VarTemplateSpecializationDecl (clang)   
ConstantArrayType (clang)   PointerLikeTypeTraits< ::clang::Type * > (llvm)   ASTRecordLayout::VBaseInfo (clang)   
ConstantArrayTypeLoc (clang)   Sema::ICEConvertDiagnoser (clang)   PointerLikeTypeTraits< clang::CanQual< T > > (llvm)   VectorType (clang)   
CodeGenFunction::ConstantEmission (clang::CodeGen)   IdentifierResolver::IdDeclInfoMap   PointerLikeTypeTraits< clang::DeclGroupRef > (llvm)   Type::VectorTypeBitfields (clang)   
ConstCommentVisitor (clang::comments)   Identifier (clang::threadSafety::til)   PointerLikeTypeTraits< clang::IdentifierInfo * > (llvm)   VectorTypeLoc (clang)   
ConstDeclVisitor (clang)   IdentifierInfo (clang)   PointerLikeTypeTraits< clang::LazyGenerationalUpdatePtr< Owner, T, Update > > (llvm)   VerbatimBlockComment (clang::comments)   
ConstExprIterator (clang)   IdentifierInfoLookup (clang)   PointerLikeTypeTraits< clang::OpaquePtr< T > > (llvm)   VerbatimBlockLineComment (clang::comments)   
ConstOMPClauseVisitor (clang)   IdentifierIterator (clang)   PointerLikeTypeTraits< clang::QualType > (llvm)   VerbatimLineComment (clang::comments)   
ConstPointerEscape (clang::ento::check)   IdentifierLoc (clang)   PointerLikeTypeTraits< clang::Selector > (llvm)   VerifyDebug (clang::driver::tools::darwin)   
TargetInfo::ConstraintInfo (clang)   IdentifierResolver (clang)   PointerLikeTypeTraits< clang::SourceLocation > (llvm)   VerifyDebugInfoJobAction (clang::driver)   
ConstraintManager (clang::ento)   IdentifierTable (clang)   PointerLikeTypeTraits< clang::TemplateName > (llvm)   VerifyDiagnosticConsumer (clang)   
ConstStmtIterator (clang)   IfStmt (clang)   PointerLikeTypeTraits< const clang::IdentifierInfo * > (llvm)   Sema::VerifyICEDiagnoser (clang)   
ConstStmtRange (clang)   IfThenElse (clang::threadSafety::til)   PointerType (clang)   VerifyJobAction (clang::driver)   
ConstStmtVisitor (clang)   IgnoringDiagConsumer (clang)   DeclaratorChunk::PointerTypeInfo (clang)   VerifyPCHAction (clang)   
ConsumedAnalyzer (clang::consumed)   ilist_default_traits   PointerTypeLoc (clang)   VerifyPCHJobAction (clang::driver)   
ConsumedBlockInfo (clang::consumed)   ilist_node (llvm)   PoisonIdentifierRAIIObject (clang)   VersionTuple (clang)   
ConsumedStateMap (clang::consumed)   ilist_traits< clang::ento::BugReport > (llvm)   PoisonSEHIdentifiersRAIIObject (clang)   VirtSpecifiers (clang)   
ConsumedStmtVisitor (clang::consumed)   ImaginaryLiteral (clang)   AnalysisBasedWarnings::Policy (clang::sema)   ReturnAdjustment::VirtualAdjustment (clang)   
ConsumedWarningsHandlerBase (clang::consumed)   ImplicitCallPoint (clang)   VariantMatcher::PolymorphicPayload (clang::ast_matchers::dynamic)   ThisAdjustment::VirtualAdjustment (clang)   
VisitReducerBase::Container (clang::threadSafety::til)   ImplicitCastExpr (clang)   PossiblyUnreachableDiag (clang::sema)   VirtualBaseInfo (clang)   
CopyReducerBase::Container (clang::threadSafety::til)   ImplicitConversionSequence (clang)   PostCall (clang::ento::check)   VisibilityMacroDirective (clang)   
ContentCache (clang::SrcMgr)   Sema::ImplicitExceptionSpecification (clang)   PostCondition (clang)   VisibleDeclConsumer (clang)   
Diagnostics::Context (clang::ast_matchers::dynamic)   ImplicitNullDerefEvent (clang::ento)   PostImplicitCall (clang)   WorkList::Visitor (clang::ento)   
Context (clang::Builtin)   ImplicitParamDecl (clang)   PostInitializer (clang)   VisitReducer (clang::threadSafety::til)   
Diagnostics::ContextFrame (clang::ast_matchers::dynamic)   ImplicitValueInitExpr (clang)   PostLoad (clang)   VisitReducerBase (clang::threadSafety::til)   
Sema::ContextRAII (clang)   ImportDecl (clang)   PostLValue (clang)   VPtrInfo (clang)   
Sema::ContextualImplicitConverter (clang)   InBeforeInTUCacheEntry (clang)   PostObjCMessage (clang::ento::check)   VTableComponent (clang)   
ContinuationIndenter (clang::format)   InclusionDirective (clang)   PostOrderCFGView (clang)   VTableContextBase (clang)   
ContinueStmt (clang)   IncompleteArrayType (clang)   PostStmt (clang::ento::check)   VTableLayout (clang)   
ContinuousRangeMap (clang)   IncompleteArrayTypeLoc (clang)   PostStmt (clang)   VTTBuilder (clang)   
ConversionFixItGenerator (clang)   IndexerCallbacks   PostStmtPurgeDeadSymbols (clang)   VTTComponent (clang)   
ConversionSpecifier (clang::analyze_format_string)   IndirectFieldDecl (clang)   PostStore (clang)   VTTVTable (clang)   
ConvertVectorExpr (clang)   IndirectGotoNodeBuilder (clang::ento)   PPCallbacks (clang)   
  W  
CopyOnWriteVector (clang::threadSafety)   IndirectGotoStmt (clang)   PPChainedCallbacks (clang)   
CopyReducerBase (clang::threadSafety::til)   Info (clang::Builtin)   PPConditionalDirectiveRecord (clang)   WeakInfo (clang)   
CoreEngine (clang::ento)   InheritableAttr (clang)   PPConditionalInfo (clang)   FunctionScopeInfo::WeakObjectProfileTy (clang::sema)   
CorrectionCandidateCallback (clang)   InheritableParamAttr (clang)   PPEntityOffset (clang::serialization)   FunctionScopeInfo::WeakUseTy (clang::sema)   
CoverageMappingGen (clang::CodeGen)   InheritanceHierarchyWriter (clang)   PragmaHandler (clang)   WhileStmt (clang)   
CoverageMappingModuleGen (clang::CodeGen)   InheritingConcreteTypeLoc (clang)   PragmaLoopHintInfo   WhitespaceManager (clang::format)   
CoverageSourceInfo (clang)   InitializationKind (clang)   PragmaNamespace (clang)   Wildcard (clang::threadSafety::til)   
CrashReportInfo (clang::driver)   InitializationSequence (clang)   PragmaPackInfo   WorkList (clang::ento)   
CrossWindowsToolChain (clang::driver::toolchains)   InitializedEntity (clang)   Sema::PragmaStack (clang)   WorkListUnit (clang::ento)   
CStyleCastExpr (clang)   InitialOverriddenDefinitionCollector   ASTUnit::PreambleData (clang)   WrapperFrontendAction (clang)   
CUDAKernelCallExpr (clang)   InitListExpr (clang)   ASTUnit::PreambleFileHash (clang)   CallArgList::Writeback (clang::CodeGen)   
CustomDiagInfo (clang::diag)   Stmt::InitListExprBitfields (clang)   PreCall (clang::ento::check)   WrittenBuiltinSpecs (clang)   
CXCodeCompleteResults   InitOnlyAction (clang)   PrecompileJobAction (clang::driver)   
  X  
CXComment   InjectedClassNameType (clang)   PredefinedExpr (clang)   
CXCompletionResult   InjectedClassNameTypeLoc (clang)   PreImplicitCall (clang)   XCore (clang::driver::toolchains)   
CXCursor   InlineCommandComment (clang::comments)   PreLoad (clang)   
  Y  
CXCursorAndRangeVisitor   Comment::InlineCommandCommentBitfields (clang::comments)   PreObjCMessage (clang::ento::check)   
CXFileUniqueID   InlineContentComment (clang::comments)   Preprocess (clang::driver::tools::gcc)   YAMLVFSEntry (clang::vfs)   
CXIdxAttrInfo   Comment::InlineContentCommentBitfields (clang::comments)   PreprocessedEntity (clang)   YAMLVFSWriter (clang::vfs)   
CXIdxBaseClassInfo   InMessageExpressionRAIIObject (clang)   PreprocessingDirective (clang)   
  _  
CXIdxContainerInfo   InputAction (clang::driver)   PreprocessingRecord (clang)   
CXIdxCXXClassDeclInfo   InputFile (clang::serialization)   PreprocessJobAction (clang::driver)   _Unwind_Exception   
CXIdxDeclInfo   InputInfo (clang::driver)   PreprocessOnlyAction (clang)   _VoidCheck (clang::ento::check)   
CXIdxEntityInfo   InsertArgumentAdjuster (clang::tooling)   Preprocessor (clang)   
A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | _