25 

 

12.6  Reading Assertion Coverage

12.6.1 Summary Information Description - Module-based

For module-based reports, the summary table for assertion metrics includes information for the name of each module, instantiating one or more assertions, that was covered and the name of the file in which the module is described in. Lines 397 through 405 of the module-based report show what this information looks like in the report. We have three modules that were scored within our DUT: main, fsma and fsmb. The table shows that all three modules were described in the file "example.v".

12.6.2 Summary Information Description - Instance-based

For instance-based reports, the summary table for assertion metrics includes information for the Verilog hierarchy pertaining to each instance on the left-hand-side of each row. Lines 397 through 405 of the instance-based report show what this information looks like in the report. In our DUT example, there are three instances within the design with the Verilog hierarchies of "main", "main.fsm1" and "main.fsm2".

12.6.3 Summary Information Description - Both

On the right-hand side of each row in the table are the hit, miss and total numbers for the assertion coverage points (ACP), followed by a calculated percent of the ACPs that were hit (calculated by taking the number of ACPs hit during simulation divided by the total number of ACPs that Covered could have simulated). The hit value indicates how many ACPs were executed during the simulation; the miss value indicates the number of ACPs not executed during simulation; and the total value indicates the total number of ACPs within the specified module/instance that Covered can simulate. Note that more than one coverage point may exist within a single assertion coverage module.

If the percentage value in the far left of the summary table is 100%, this indicates that all ACPs that Covered was capable of simulating (for the module/instance of this row) were executed. If the value of the percentage is less than 100%, this indicates that some number of ACPs were not executed and full coverage was not achieved for that module/instance. Note that for a module/instance which does not contain any ACPs in which Covered was able to simulate, the values of hit, miss, and total will be 0 while the hit percentage value will indicate 100%.

12.6.4 Verbose Information Description - Both

When a module/instance is found to be not fully covered (i.e., the number of hit ACPs is not equal to the number of attainable ACPs), the missed coverage points are output to the report as follows:

Instance Name Assertion Name Coverage Point Description

If the -c option is used, Covered outputs this information in the same way as the missed cases except that the title of the output is "Hit cases" and the number of times each ACP was hit is indicated.


1 |  2 |  3 |  4 |  5 |  6 |  7 |  8 |  9 |  10 |  11 |  12 |  13 |  14 |  15 |  16 |  17 |  18 |  19 |  20 |  21 |  22 |  23 |  24 |  25 |  26 |  27 |  28 |  29 |  30 ]
License: GPL
This Manual was originally created with ManStyle.